为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

单片机PS2键盘资料

2011-10-06 18页 doc 262KB 79阅读

用户头像

is_632764

暂无简介

举报
单片机PS2键盘资料目前,PC机广泛采用的PS/2接口为mini-DIN 6pin的连接器,如图1所示.  PS/2设备有主从之分,主设备采用Female插座,从设备采用Male插头.现在广泛使用的PS/2键盘鼠标均在从设备方式下工作.PS/ 目前,PC机广泛采用的PS/2接口为mini-DIN 6pin的连接器,如图1所示. PS/2设备有主从之分,主设备采用Female插座,从设备采用Male插头.现在广泛使用的PS/2键盘鼠标均在从设备方式下工作.PS/2接口的时钟与数据线都是集电极开路结构,必须外接上拉电阻(一般上拉电阻设置在...
单片机PS2键盘资料
目前,PC机广泛采用的PS/2接口为mini-DIN 6pin的连接器,如图1所示.  PS/2设备有主从之分,主设备采用Female插座,从设备采用Male插头.现在广泛使用的PS/2键盘鼠标均在从设备方式下工作.PS/ 目前,PC机广泛采用的PS/2接口为mini-DIN 6pin的连接器,如图1所示. PS/2设备有主从之分,主设备采用Female插座,从设备采用Male插头.现在广泛使用的PS/2键盘鼠标均在从设备方式下工作.PS/2接口的时钟与数据线都是集电极开路结构,必须外接上拉电阻(一般上拉电阻设置在主设备中).主从设备之间数据通信采用双向同步串行方式传输,时钟信号由从设备产生. 1.1 从设备到主设备的通信 当从设备向主设备发送数据时,首先检查时钟线,以确认时钟线是否为高电平.如果是高电平,从设备就可以开始传输数据;反之,从设备要等待获得总线的控制权,才能开始传输数据.传输的每一帧由11位组成,发送时序及每一位的含义如图2所示. 对时序图的理解:每个时钟线的下降沿改变数据线状态,数据在高电平期间稳定,先发送一个起始位(0),再开始8位数据位(低位在前,高位在后)。接着一个PARITY(奇偶校验)位,最后一个停止位(1). 每一帧数据中开始位总是为0,数据校验采用奇校验方式,停止位始终为1.从设备到主设备通信时,从设备总是在时钟线为高时改变数据线状态,主设备在时钟下降沿读人数据线状态. 1.2 主设备到从设备的通信 主设备与从设备进行通信时,主设备首先将时钟线和数据线设置为“请求发送”状态,具体方式为:首先下拉时钟线至少100us抑制通信,然后下拉数据线“请求发送”,最后释放时钟线.在此过程中,从设备在不超过10us的间隔内必须检查这个状态,当设备检测到这个状态时,它将开始产生时钟信号.此时数据传输的每一帧由12位构成,其时序和每一位含义如图3所示. 与从设备到主设备通信相比,其每帧数据多了一个ACK位.这是从设备应答接收到字节的应答位,由从设备通过拉低数据线产生,应答位ACK总是为0.主设备到从设备通信过程中,主设备总是在时钟线为低电平时改变数据线的状态,从设备在时钟上升沿读人数据线状态. 2 PS/2键盘的编码与命令集 2.1 PS/2键盘的编码 目前,PC机使用的PS/2键盘都默认采用第2套扫描码集.扫描码有两种不同的类型:“通码(make code)”和“断码(break code)”.当一个键被按下或持续按住时,键盘会将该键的通码发送给主机;而当一个键被释放时,键盘会将该键的断码发送给主机.根据键盘按键扫描码的不同,可将按键分为3类: 第1类按键 通码为一个字节,断码为0xF0+通码形式.如A键,其通码为0x1C;断码为0xF0 0x1C. 第2类按键 通码为两字节0xE0+0xXX形式,断码为0xE0+0xF0+0xXX形式.如Right Ctrl键,其通码为0xE0 0x14;断码为0xE0 0xF0 0x14. 第3类特殊按键 有两个,Print Screen键,其通码为0xE0 0x12 0xE0 0x7C;断码为0xE0 0xF0 0x7C 0xE0 0xF0 0x12.Pause键,其通码为0xE1 0x14 0x77 0xE1 0xF0 0xl4 0xF0 0x77;断码为空. 组合按键扫描码的发送是按照按键发生的次序,如按下面顺序按左Shift十A键:① 按下左Shift键;② 按下A键;③ 释放A键;④ 释放左Shift键,那么计算机上接收到的一串数据为0x12 0x1C 0xF0 0x1C 0xF0 0x12. 在文中的驱动程序中,就是根据按键的分类对其分别进行处理. 2.2 PS/2键盘的命令集 主机可通过向PS/2键盘发送命令对键盘进行设置或者获得键盘的状态等操作.每发送一个字节,主机都会从键盘获得一个应答0xFA(“重发resend”和“回应echo”命令例外).驱动程序在键盘初始化过程中所用的指令:0xED,主机在该命令后跟随发送一个参数字节,用于指示键盘上Num Lock,Caps Lock,Scroll Lock Led的状态;0xF3,主机在这条命令后跟随发送一个字节参数定义键盘机打的速率和延时;0xF4,用于当主机发送0xF5禁止键盘后,重新使能键盘. 3 PS/2键盘与单片机的连接电路 PS/2键盘与AT89C51单片机的连接方式如图4所示.P1.0接PS/2数据线;P3.2(INT0)接PS/2时钟线.因为单片机的P1,P3口内部是带上拉电阻的,所以PS/2的时钟线和数据线可以直接与单片机的P1,P3相连接. 4 驱动程序设计 驱动程序的开发使用Keil C51语言以及KeiluVision2编程环境.PS/2 104键盘驱动程序主要任务是实现单片机与键盘间PS/2通信,同时将接收到的按键扫描码转换为该按键的键值KeyVal,提供给系统上层软件使用. 4.1 单片机与键盘间PS/2通信的程序设计 在PS/2通信过程中,主设备(文中是单片机)是在时钟信号为低时发送和接收数据信号.因为单片机向键盘发送的是指令,需要键盘回应,所以这部分程序采用查询方式;而单片机接收键盘数据时,数据线上的信号在时钟为低时已经稳定,所以这部分程序采用中断方式,且不需要在程序中加入延时程序. 单片机向PS/2键盘发送数据程序代码为: void ps2_sentchar(unsigned char sentchar) { //ps2主设备向从设备发送数据 unsigned char sentbit_cnt= 0x00; unsigned char sentchar_chk = 0x00; EX0=0; //关外部中断0 //发起一个传送,发起始位 PS2_SGN_CLOCK = 0; //将时钟线拉低并保持100 us delay100us(); PS2_SGN_DATA= 0; //起始位 PS2_SGN_CLOCK = 1; //发送DATA0-7 for(sentbit_cnt=0;sentbit_cnt< 8;sentbit_cnt++){ while(PS2_SGN_CLOCK) _nop_(); //等待时钟线变为低 PS2_SGN_DATA = sentchar& 0x01;//发送数据 if(PS2_SGN_DATA) sentchar_chk++; //计算校验 while(!PS2_SGN_CL0CK) _nop_(); //等待时钟线变高 sentchar>>=1; //待发送数据右移一位 } //发送校验位 while(PS2_SGN_CLOCK) _nop_(); //等待时钟线变低 switch(sentchar_chk){ case 0: case 2: case 4: case 6:PS2_SGN_DATA =1;break;//奇校验 case 1: case 3: case 5: case 7:PS2_SGN_DATA = 0;break;//奇校验 default;break; ) while(!PS2_SGN_CLOCK) _nop_(); //等待时钟线变高 while(PS2_SGN_CLOCK) _nop_(); //等待时钟线变低 PS2_SGN_DATA =1;//发送停止位,停止位总为1 while(!PS2_SGN_CLOCK) _nop_(); //等待时钟线变高 while(PS2_SGN_CLOCK) _nop_(); //等待时钟线变低 //接收ACK //if(PS2_SGN_DATA) error(); //ACK信号由键盘发出,总为低电平 while(!PS2_SGN_CLOCK) _nop_(); //等待时钟线变高 EX0= 1; //开外部中断0 } 单片机由PS/2键盘接收数据程序:外部中断0设置为下降沿触发 void int0() interrupt 0 using 0 {// EX0=0; //关外部中断0 switch(ps2_revchar_cnt){ case 1: …… case 8:mcu_revchar<<=1; if(PS2_SGN_DATA) mcu_revchar |= 0x01; ps2_revchar_cnt++; break; case 0:ps2_revchar_cnt++;break; //开始位, case 9:ps2_revchar_cnt++;break; //校验位,可添加校验程序 case 10: _nop_();//停止位 ps2_revchar_cnt= 0; revchar_flag=1;//置接收到数据标识位 break; default:break; } EX0=1;//开外部中断0 } 4.2 键盘扫描码转换程序设计 由于键盘扫描码无规律可循,因此由键盘扫描码获得相应按键的键值(字符键为其ASCII值,控制键如F1,Ctrl等为自定义值),只能通过查的方式获得.由于按键的3种类型及部分按键对应着两个键值(如A键的键值根据Caps和Shift键状态有0x41(A)和0x61(a)两种),因此综合考虑查表转换速度和资源消耗,设计中使用4个键盘表:键盘扫描码转换基本集和切换集(kb_plain_map[NR_KEYS]与kb_shift_map[NR_KEYS]);包含E0前缀的键盘扫描码转换基本集和切换集(kbeO_plain_map[NR_KEYS]与kbe0_shiftmap[NR_KEYS]).PS/2 104键盘按键扫描码最大值为0x83,所以设置NR_KEYS为132.所有4个键盘表的定义均为如下形式:KB_MAP[MAKE CODE]=KEYVAL,如果扫描码对应的按键为空(如KB_MAP[0x00]),则定义相应键值为NULL_KEY(0x00).以下是键盘扫描码基本集的部分代码实例: kb_plain_map[NR_KEYS]={…… NULL_KEY;0x2C;0x6B;0x69;0x6F;0x30;0x39; NULL_KEY; //扫描码0x40~0x47 //对应按键空,逗号,K,I,O,0,9,空 //对应键值0x00,',','k','i','o','O','9',0x00…… }; 如此设计键盘转换表的另一个好处在于,以后如需扩展支持有ACPI、Windows多媒体按键键盘时,只需要将键表中相应处修改即可,如ACPI Power按键通码为0xE0 0x37,修改kbe0_plain_map[0x37]=KB_ACPI_PWR即可. 特殊按键Pause使用单独程序处理,如果接收到0xE1就转入这段程序.而Print Screen键则将其看作是两个通码分别为0xE0 0x12和0xE0 0x7C 的“虚键”的组合键处理.在驱动程序中设定如下全局变量:led_statusScroll Lock Led,Num Lock Led和Caps Lock Led的状态(关为0,开为1);agcs_status记录左右Shift Ctrl Gui Alt状态,相应键按下则对应位为1,释放为0.E0_FLAG接到0xE0置1;E1_FLAG接收到0xE1置1;F0_FLAG接收到0xF0置1.按键键值通过KeyVal提供上层程序使用.PS/2键盘扫描码键值转换程序ps2_codetrans()流程框架如图5所示. 第1类按键的扫描码键值转换程序代码。 if(F0_FLAG){//接收扫描码为断码 switch(mcu_revchar){//处理控制键 case 0x11:ages_status&=0xF7;break;//左alt释放 case 0x12:ages_status&=0xFE;break;//左shift释放 case 0x14:agcs_status&=0xFD;break;//左ctrl释放 case 0x58;if(led_status&0x04) led_status &= 0x03; //caps lock else led_status |=0x04; ps2_ledchange(); break; case 0x59: agcs_status &= 0xEF;break;//右shift释放 case 0x77: if(led_status&0x02)led_status&=0x05;//num lock else led_status |=0x02; ps2_ledchange(); break; case 0x7E:if(led_status&0x01) led_status&=0x06;//scroll lock else led_status |=0x01; ps2_ledchange(); break; default;break; } F0_FLAG=0; } else{//接收扫描码为通码 if(led_status&0x04) caps_flag=1;else caps_flag = 0; if(led_status&0x02) num_flag =1;else num_flag =0; if(agcs_status&0x11) shift_flag = 1;else shift_flag=0; //扫描码键值转换 if((caps_flag == shift_flag) || (!num_flag)) KeyVal=kb_plain_map[mcu_revchar]; else KeyVal = kb_shift_map[mcu_revchar]; switch(mcu_revchar)(//处理控制键或状态键 case 0x11:agcs_status|= 0x08;//左alt按下 Case 0x12:agcs_status|= 0x01;//左shift按下 case 0x14:agcs_status|= 0x02;//左ctrl按下 case 0x59:agcs_status|= 0x10;//右shift按下 default:break; } } 第2类按键的扫描码键值转换程序与上面相似.注意:在退出该程序段时,对E0_FLAG和F0_FLAG标识清0.Pause键的处理程序,如果接收到0xE1,置E1_FLAG=1,然后顺次将后续接收到的7个字节数据和Pause的通码后7个字节比较,一致则返回KeyVal=KB_PAUSE;在比较完所有7个字节后清除E1_FLAG标识.键盘初始化程序kb_init()流程为: ① 上电后,接收键盘上电自检通过信号0xAA,或者自检出错信号0xFC.单片机接收为0xAA则进入下一步,否则进行出错处理. ② 关LED指示,单片机发送0xED,然后接收键盘回应0xFA,接着发送0x00接收0xFA. ③ 设置机打延时和速率:单片机发送0xF3,接收0xFA,发送0x00(250 ms,2.0 cps),接收0xFA. ④ 检查LED,发送0xED,接收0xFA,发送0x07(开所有LED),接收0xFA.发送0xED,接收0xFA,发送0x00(关LED),接收0xFA. ⑤ 允许键盘,发送0xF4,接收0xFA.键盘LED改变ps2_ledchange()函数流程:发送0xED;接收0xFA;发送led_status;接收0xFA.5 结语 该驱动程序经Keil uVision2 编译,在AT89C51单片机上运行通过,实现了对PS/2 104键盘的支持,实现了对字符按键大小写切换,Num Lock切换、控制键及组合按键的支持.同时该程序对其他嵌入式或单片机系统中PS/2键盘的应用也有借鉴意义 ps 2键盘编程详细资料---2 2 推荐 ps 2键盘编程详细资料 一.电气特性 1 DATA Key Data 2 n/c Not connected 3 GND Gnd 4 VCC Power , +5 VDC 5 CLK Clock 6 n/c Not connected 二.数据格式 1个起始位 总是逻辑0 8个数据位 (LSB)低位在前 1个奇偶校验位 奇校验 1个停止位 总是逻辑1 1个应答位 仅用在主机对设备的通讯中 表中,如果数据位中1的个数为偶数,校验位就为1;如果数据位中1的个数为奇数,校验位就为0;总之,数据位中1的个数加上校验位中1的个数总为奇数,因此总进行奇校验。 三.PS/2 发送数据到PC的时序 键盘接口时序(a) 键盘发送时序;(b) 键盘接收时序 注:在时钟的下降沿读取数据.以下可做具体写程序参考 从PS/2向PC机发送一个字节可按照下面的步骤进行: (1)检测时钟线电平,如果时钟线为低,则延时50μs; (2)检测判断时钟信号是否为高,为高,则向下执行,为低,则转到(1); (3)检测数据线是否为高,如果为高则继续执行,如果为低,则放弃发送(此时PC机在向 PS/2设备发送数据,所以PS/2设备要转移到接收程序处接收数据); (4)延时20μs(如果此时正在发送起始位,则应延时40μs); (5)输出起始位(0)到数据线上。这里要注意的是:在送出每一位后都要检测时钟线,以确保PC机没有抑制PS/2设备,如果有则中止发送; (6)输出8个数据位到数据线上; (7)输出校验位; (8)输出停止位(1); (9)延时30μs(如果在发送停止位时释放时钟信号则应延时50μs); 通过以下步骤可发送单个位: (1)准备数据位(将需要发送的数据位放到数据线上); (2)延时20μs; (3)把时钟线拉低; (4)延时40μs; (5)释放时钟线; (6)延时20μs。 PS/2设备从PC机接收一个字节 由于PS/2设备能提供串行同步时钟,因此,如果PC机发送数据,则PC机要先把时钟线和数据线置为请求发送的状态。PC机通过下拉时钟线大于100μs来抑制通讯,并且通过下拉数据线发出请求发送数据的信号,然后释放时钟。当PS/2设备检测到需要接收的数据时,它会产生时钟信号并记录下面8个数据位和一个停止位。主机此时在时钟线变为低时准备数据到数据线,并在时钟上升沿锁存数据。而PS/2设备则要配合PC机才能读到准确的数据。具体连接步骤如下: (1)等待时钟线为高电平。 (2)判断数据线是否为低,为高则错误退出,否则继续执行。 (3)读地址线上的数据内容,共8个bit,每读完一个位,都应检测时钟线是否被PC机拉低,如果被拉低则要中止接收。 (4)读地址线上的校验位内容,1个bit。 (5)读停止位。 (6)如果数据线上为0(即还是低电平),PS/2设备继续产生时钟,直到接收到1且产生出错信号为止(因为停止位是1,如果PS/2设备没有读到停止位,则表明此次传输出错)。 (7 输出应答位。 (8) 检测奇偶校验位,如果校验失败,则产生错误信号以表明此次传输出现错误。 (9)延时45 μs,以便PC机进行下一次传输。 读数据线的步骤如下: (1)延时20μs; (2)把时钟线拉低 (3)延时40μs (4)释放时钟线 (5)延时20μs (6)读数据线。 下面的步骤可用于发出应答位; (1)延时15μs; (2)把数据线拉低; (3)延时5μs; (4)把时钟线拉低; (5)延时40μs; (6)释放时钟线; (7)延时5μs; (8)释放数据线。 四.键盘返回值介绍: 注意:键盘的返回值并不是和一般ASCII码相对应! 键盘的处理器如果发现有键被按下释放或按住键盘将发送扫描码的信息包到计算机扫描码有两种不同的类型通码和断码当一个键被按下或按住就发送通码当一个键被释放就发送断码每个按键被分配了唯一的通码和断码这样主机通过查找唯一的扫描码就可以测定是哪个按键每个键一整套的通断码组成了扫描码集有三套的扫描码集分别是第一套第二套和第三套所有现代的键盘默认使用第二套扫描码 虽然多数第二套通码都只有一个字节宽但也有少数扩展按键的通码是两字节或四字节宽这类的通码第一个字节总是为E0h 正如键按下通码就被发往计算机一样只要键一释放断码就会被发送每个键都有它自己唯一的通码它们也都有唯一的断码幸运的是你不用总是通过查表来找出按键的断码在通码和断码之间存在着必然的联系多数第二套断码有两字节长它们的第一个字节是F0h 第二个字节是这个键的通码扩展按键的断码通常有三个字节它们前两个字节是E0h,F0h 最后一个字节是这个按键通码的最后一个字节作为一个例子我在下面列出了几个按键的第二套通码和断码 No. KEY 通码(第二套) 断码(第二套) 1 "A" 1C F0 1C 2 "5" 2E F0 2E 3 "F10" 09 F0 09 4 Right Arrow E0 74 E0 F0 74 5 Right "Ctrl" E0 14 E0 F0 14 一个键盘发送值的例子: 通码和断码是以什么样的序列发送到你的计算机从而使得字符G 出现在你的字处理软件里的呢因为这是一个大写字母需要发生这样的事件次序按下Shift 键按下G键释放G 键释放Shift 键与这些时间相关的扫描码如下Shift 键的通码12hG 键的通码34h G 键的断码F0h 34h Shift 键的断码F0h 12h 因此发送到你的计算机的数据应该是 12h 34h F0h 34h F0h 12h 五:第二套扫描码: 101 102 和104 键的键盘: KEY 通码 断码 KEY 通码 断码 KEY 通码 断码 A 1C F0 1C 9 46 F0 46 [ 54 F0 54 B 32 F0 32 ` 0E F0 0E INSERT E0 70 E0 F0 70 C 21 F0 21 - 4E F0 4E HOME E0 6C E0 F0 6C D 23 F0 23 = 55 F0 55 PG UP E0 7D E0 F0 7D E 24 F0 24 \ 5D F0 5D DELETE E0 71 E0 F0 71 F 2B F0 2B BKSP 66 F0 66 END E0 69 E0 F0 69 G 34 F0 34 SPACE 29 F0 29 PG DN E0 7A E0 F0 7A H 33 F0 33 TAB 0D F0 0D U ARROW E0 75 E0 F0 75 I 43 F0 43 CAPS 58 F0 58 L ARROW E0 6B E0 F0 6B J 3B F0 3B L SHFT 12 F0 12 D ARROW E0 72 E0 F0 72 K 42 F0 42 L CTRL 14 F0 14 R ARROW E0 74 E0 F0 74 L 4B F0 4B L GUI E0 1F E0 F0 1F NUM 77 F0 77 M 3A F0 3A L ALT 11 F0 11 KP / E0 4A E0 F0 4A N 31 F0 31 R SHFT 59 F0 59 KP * 7C F0 7C O 44 F0 44 R CTRL E0 14 E0 F0 14 KP - 7B F0 7B P 4D F0 4D R GUI E0 27 E0 F0 27 KP + 79 F0 79 Q 15 F0 15 R ALT E0 11 E0 F0 11 KP EN E0 5A E0 F0 5A R 2D F0 2D APPS E0 2F E0 F0 2F KP 71 F0 71 S 1B F0 1B ENTER 5A F0 5A KP 0 70 F0 70 T 2C F0 2C ESC 76 F0 76 KP 1 69 F0 69 U 3C F0 3C F1 05 F0 05 KP 2 72 F0 72 V 2A F0 2A F2 06 F0 06 KP 3 7A F0 7A W 1D F0 1D F3 04 F0 04 KP 4 6B F0 6B X 22 F0 22 F4 0C F0 0C KP 5 73 F0 73 Y 35 F0 35 F5 03 F0 03 KP 6 74 F0 74 Z 1A F0 1A F6 0B F0 0B KP 7 6C F0 6C 0 45 F0 45 F7 83 F0 83 KP 8 75 F0 75 1 16 F0 16 F8 0A F0 0A KP 9 7D F0 7D 2 1E F0 1E F9 01 F0 01 ] 58 F0 58 3 26 F0 26 F10 09 F0 09 ; 4C F0 4C 4 25 F0 25 F11 78 F0 78 ' 52 F0 52 5 2E F0 2E F12 07 F0 07 , 41 F0 41 6 36 F0 36 PRNT SCRN E0 12 E0 7C E0 F0 7C E0 F0 12 . 49 F0 49 7 3D F0 3D SCROLL 7E F0,7E / 4A F0 4A 8 3E F0 3E PAUSE E1 14 77 E1 F0 14 F0 77 -NONE- ACPI 扫描码: KEY 通码 断码 Power E0, 37 E0, F0, 37 Sleep E0, 3F E0, F0, 3F Wake E0, 5E E0, F0, 5E Windows 多媒体扫描码: KEY 通码 断码 Next Track E0, 4D E0, F0, 4D Previous Track E0, 15 E0, F0, 15 Stop E0, 3B E0, F0, 3B Play/Pause E0, 34 E0, F0, 34 Mute E0, 23 E0, F0, 23 Volume Up E0, 32 E0, F0, 32 Volume Down E0, 21 E0, F0, 21 Media Select E0, 50 E0, F0, 50 E-Mail E0, 48 E0, F0, 48 Calculator E0, 2B E0, F0, 2b My Computer E0, 40 E0, F0, 40 WWW Search E0, 10 E0, F0, 10 WWW Home E0, 3A E0, F0, 3a WWW Back E0, 38 E0, F0, 38 WWW Forward E0, 30 E0, F0, 20 WWW Stop E0, 28 E0, F0, 28 WWW Refresh E0, 20 E0, F0, 20 WWW Favorites E0, 18 E0, F0, 18
/
本文档为【单片机PS2键盘资料】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索