为了正常的体验网站,请在浏览器设置里面开启Javascript功能!
首页 > DSP(2812)最小系统设计

DSP(2812)最小系统设计

2012-01-31 34页 doc 403KB 22阅读

用户头像

is_755983

暂无简介

举报
DSP(2812)最小系统设计西安工程大学本科毕业设计(论文) 西安工程大学本科毕业设计(论文) 摘 要 本设计是DSP(2812)最小系统设计,DSP是一门涉及许多学科而又广泛应用于许多领域的新兴学科。DSP指的是数字信号处理器。数字信号处理器是一种适合完成数字信号处理运算的处理器。20世纪60年代以来,随着计算机和信息技术的飞速发展,DSP技术应运而生并得到迅速的发展。在过去的二十多年时间里,数字信号处理已经在通信等领域得到极为广泛的应用。 数字信号处理是利用计算机或专用处理设备,以数字形式对信号进行采集,变换,滤波,固执,增强,压缩,识别等处...
DSP(2812)最小系统设计
西安大学本科毕业设计() 西安工程大学本科毕业设计(论文) 摘 要 本设计是DSP(2812)最小系统设计,DSP是一门涉及许多学科而又广泛应用于许多领域的新兴学科。DSP指的是数字信号处理器。数字信号处理器是一种适合完成数字信号处理运算的处理器。20世纪60年代以来,随着计算机和信息技术的飞速发展,DSP技术应运而生并得到迅速的发展。在过去的二十多年时间里,数字信号处理已经在通信等领域得到极为广泛的应用。 数字信号处理是利用计算机或专用处理设备,以数字形式对信号进行采集,变换,滤波,固执,增强,压缩,识别等处理,已得到符合人们需要的信号形式。数字信号处理是以众多科学为理论基础的,它所涉及的范围及其广泛。在科学领域,微积分,概率统计,随机过程,数值分析等都是数字信号处理的基本工具。与网络理论,信号与系统,控制论,通信理论,故障诊断等也密切相关,可以说,数字信号处理是把许多经典的理论体系作为自己的理论基础,同时又使自己成为一系列新兴学科的理论基础。 DSP主要应用在数字信号处理中,目的是为了能够满足实时信号处理的要求,因此需要将数字信号处理中的常用运算执行的尽可能快。这就决定了DSP的特点和关键技术。适合数字信号处理的技术:DSP包涵乘法器,累加器,特殊地址发生器,领开销循环等;提高处理速度的技术:流水线技术,并行处理技术,超常指令等。 DSP对元件值的容限不敏感,受温度、环境等外部参与影响小;容易实现集成;VLSI 可以时分复用,共享处理器;方便调整处理器的系数实现自适应滤波;可实现模拟处理不能实现的功能:线性相位、多抽样率处理、级联、易于存储等;可用于频率非常低的信号。 关键词 典型特征,体系结构,程序流程 ABSTRACT This design is the DSP (2812) Minimum system design, DSP is a widely used but many disciplines involved in many areas of emerging disciplines. We often say that the DSP refers to the digital signal processor. Digital Signal Processor is a complete cross-signal processing for the processor. Since the 60s of the 20th century, with the computers and the rapid development of information technology, DSP technology and the rapid development emerged. In the past twenty years time, digital signal processing in communications and other fields has been very widely used. Digital signal processing is to use a computer or dedicated processing equipment to collect the signal in digital form, transform, filter, stubborn, enhancement, compression, recognition processing needs of the people has been the signal form. Digital signal processing theory is based on a number of science-based, its scope and wide. For example, in science, calculus, probability and statistics, stochastic processes, numerical analysis, digital signal processing are the basic tools. And network theory, signals and systems, control theory, communication theory, fault diagnosis, etc., are closely related, can be said that digital signal processing is to many classical theoretical system as its theoretical basis, but also to become a new discipline theoretical basis. DSP is mainly used in digital signal processing, designed to meet real-time signal processing requirements, requiring digital signal processing of common operations performed as quickly as possible. This determines the DSP features and key technologies. Suitable for digital signal processing techniques: DSP indulgence multiplier, accumulator, special address generator, leading overhead loops; improve the speed of the technology: pipelining, parallel processing, exceptional instruction and so on. DSP of the component value of tolerance is not sensitive to temperature, and environment outside involvement affect small; easy integration; VLSI can be time-division multiplexing, shared processor; facilitate the adjustment of the processor factor to achieve adaptive filter; to analog processing can not Implementation of functions: linear phase, multirate processing, cascade, easy storage; can be used to frequency of very low signal. KEY WORDS :Typical characteristics, Architecture, Program flow     目录 1 前 言 2 第1章 绪论 2 1.1 研究背景 2 1.2 系统概述 3 1.3 论文完成的工作 4 第2章 DSP(2812)最小系统的硬件 4 2.1 DSP(2812)性能概述 6 2.2 TMS320F2812的引脚图及功能 12 2.3 相关硬件设计 12 2.3.1 DSP与液晶模块的直接访问接口 13 2.3.2 基于CPLD的硬件等待电路 14 2.4 DSP最小系统 14 2.4.1 电源转换 14 2.4.2片外程序和数据存储器 15 2.4.3 时钟电路 15 2.4.4 JTAG仿真接口电路 16 2.5 硬件的调试 16 2.5.1 电路测试及目标板识别 16 2.5.2 事件管理器产生PWM波功能测试 16 2.5.3 基于串口通信的数据采集功能测试 18 第3章 软件的设计 18 3.1 软件简介 18 3.2 基本功能 18 3.2.1 2812读写时序 19 3.2.2 液晶显示模块的读写时序 20 3.2.3 F2812的XREADY信号 21 3.2.4 相关VHDL 22 3.2.5 DSP对液晶模块连续的读写访问 23 3.3 TMS320F2812 系统设计中应注意的几个问题 23 3.3.1 速度和时钟 24 3.3.2 中断的使用 26 3.3.3 Flash Ram的使用 27 第4章 结论 28 参考文献 30 致 谢 前 言 随着计算机和信息技术的飞速发展,DSP技术已经应用到我们生活的每一个角落,从军用到民用,从航空航天到生产生活,都越来越多的使用DSP。 DSP的主要应用有数字化移动电话,数据调制解调器,磁盘/光盘控制器需求,图形图像处理需求,汽车电子系统等。应用DSP的领域可以说是不胜枚举。DSP在航空航天方面,主要用于雷达和声纳信号处理;在通信方面,主要用于移动电话,IP电话,ADSL和HFC的信号传输;在控制方面,主要用于电机控制,光驱和因公安驱动器;在电子娱乐方面,主要用于高清晰电视,机顶盒,家庭影院,DVD等应用;还有数字相机,网络相机等等。可以说没有DSP就没有对互联网的访问,也没有多媒体,也没有无线通信。随着科学技术的发展,将会出现更多的DSP新应用领域。 DSP的开发工具包括各种仿真软件,调试软件,硬件仿真器,评估板等,中国的DSP市场增长迅速,一直与国际DSP技术保持同步的态势。随着中国社会数字化,信息化的进展和中国经济的持续稳定增长,刺激了电子信息产业和市场的快速发展,推动了DSP的广泛应用。 本文给出DSP芯片TMS320F2812的硬件的最小系统设计,然后增加一个外扩用来演示DSP芯片2812的运行。 论文的结构如下,共分四个章节。 第一章描述了DSP2812课题的研究背景,意义以及发展现状,并根据DSP2812的原理和特点及设计要求,给出了设计实现的基本。 第二章主要给出了系统的硬件设计,根据具体功能的实现,对硬件电路进行详细的分析。 第三章为系统软件设计。 第四章为工作总结和展望,主要对本次设计进行总结并对更深入的研究本课题做出了展望。 第1章 绪论 1.1 研究背景 数字化已成为电子、通信和信息技术的发展趋势与潮流。在这种趋势与潮流的推动下,数字信号处理的理论与实现手段获得了快速的发展,已成为当代发展最快的学科之一。而DSP芯片作为数字信号处理,尤其是实时数字信号处理的主要和手段,自20世纪70年代末、80年代初诞生以来,无论在性能上还是在价格上,都取得了突破性的迅猛发展。从定点到浮点直到并行处理芯片,DSP芯片的功能越来越强、速度越来越快例如TI公司的并行处理芯片C6000系列的速度达到了2400MIPS的高指标;而且,DSP芯片的价格越来越低,开发与设计手段越来越多样化、越来越容易。越来越高的性能价格比、日渐完善的开发方式使DSP的应用范围越来越大,已经广泛地应用于通信、雷达、声纳、遥感、生物医学、机器人、控制、精密机械、语音和图像处理等领域。可以毫不夸张地说,以DSP芯片为基础的数字信号处理技术已成为当代电子、通信和信息处理技术不可或缺的重要手段。 1.2 系统概述 DSP2812功能比单片机强大的多,TMS320F2812 是美国TI 公司推出的C2000 平台上的定点32 位DSP 芯片,适合用于工业控制,电机控制等,用途广泛,应该相当于单片的升级版。运行时钟也快可达150MHz,处理性能可达150MIPS,每条指令周期6.67ns。IO口丰富,对用户一般的应用来说足够了。两个串口。具有12位的0~3.3v的AD转换等。具有片内128k×16位的片内FLASH,18K ×16 位的SRAM,一般的应用系统可以不要外扩存储器。 1.3 论文完成的工作 (1)技术方案及技术路径。 (2)硬件原理图。 (3)制作及调试。 (4)嵌入式软件编程及调试。 第2章 DSP(2812)最小系统的硬件 2.1 DSP(2812)性能概述 (1)F2812 DSP芯片采用高性能静态CMOS技术 1 主频高达150MHz,每个时钟周期为6.67ns。 2采用低电压供电,当主频为135MHz时,内核电压为1.8V,主频150MHz时内核电压为1.9V,I/O引脚电压为3.3V。 (2)支持JTAG在线仿真接口 (3)32位高性能处理器 1 支持16bX16b和32bX32b的乘法加法运算。 2 支持16bX16b双乘法运算。 3 采用哈佛总线结构模式。 4 快速的中断响应和中断处理能力。 5 统一的存储设计模式。 6 兼容C/C++语言以及汇编语言。 (4) 片内存储空间 1片内FLASH空间大小为128KX16b,分为4个8KX16b和6个16KX16b存储段。 2 OTP ROM空间大小1KX16b。 3 L0、L1两块4KX16b单地址寻址随机存储器(SARAM)。 4 H0:一块8KX16b随机存储器(SARAM)。 5 M0、M1:两块1KX16bSARAM。 (5) Boot ROM空间 空间大小为4KX16b,内含软件启动模式以及数学函数库。 (6) 外部接口 1 高达1MX16b的总存储空间。 2 可编程的等待时间。 3 可编程的等待读写时序。 4 3个独立的片选信号。 (7) 时钟和系统控制 1 支持动态锁相环倍频。 2 片内振荡器。 3 内含看门狗定时模块。 (8)3个外部中断 (9)外设中断模块(PIE)可以支持45个外设中断 (10)3 个32位CPU定时器 (11)128位安全密钥 1 可以包含Flash ROM OTP以及L0 L1SARAM。 2 防止系统硬件、软件被修改。 (12)用于控制电机的外设 1两路事件管理(EVA、EVB)。 (13)串行通信端口 1 串行外设接口SPI。 2 两路串行通信接口SCI,标准URAT口。 3 增强型CAN模块(eCAN)。 4 多通道缓冲串行接口(MSBSP)。 (14)12位ADC转换模块 1 2X8路输入通道。 2 两个采样保持器。 3 单一或级联转换模式。 4 最高转换速度80ns/12.5Msps。 (15)56个通用GPIO口 (16)先进的仿真模式 1 具有实时仿真及设置断点的功能。 2 支持硬件仿真。 (17)开发工具 1 DSP集成环境CCS。 2 JTAG仿真器。 (18)低电模式和电源存储 1 支持IDLE、STANDBY、HALT模式。 2 禁止/使能独立外设时钟。 (19)封装 1 179引脚BGA封装,带扩展存储接口。 2 176引脚PGF封装,带扩展存储接口。 2.2 TMS320F2812的引脚图及功能 如图2-1为TMS320F2812引脚图以及各个引脚的作用。 图2-1 TMS320F2812引脚图 XINTF信号 XA[0]~XA[18] --- 19位地址总线。 XD[0]~XD[15] --- 16位数据总线。 XMP/MC` --- 1 -- 微处理器模式 --- XINCNF7有效。 0 -- 微计算机模式 --- XINCNF7无效。 XHOLD` --- 外部DMA保持请求信号。XHOLD为低电平时请求XINTF释放外部总线,并把所有的总线与选通端置为高阻态。当对总线的操作完成且没有对XINTF进行访问时,XINTF释放总线。此信号是异步输入并与XTIMCLK同步。 XHOLDA` --- 外部DMA保持确认信号。当XINTF响应XHOLD的请求时XHOLDA呈低电平,所有的XINTF总线和选通端呈高阻态。XHOLD和XHOLDA信号同时发出。当XHOLDA有效(低)时外部器件只能使用外部总线。 XZCS0AND1` --- XINTF区域O和区域1的片选,当访XINTF区域0或1时有效(低)。 XZCS2` --- XINTF区域2的片选,当访XINTF区域2时有效(低)。 XZCS6AND7` --- XINTF区域6和区域7的片选,当访XINTF区域6或7时有效(低)。 XWE` --- 写有效。有效时为低电平。写选通信号是每个区域操作的基础,由XTIMINGX寄存器的前一周期、当前周期和后一周期的值确定。 XRD` --- 读有效。低电平读选通。读选通信号是每个区域操作的基础,由xTIMINGX寄存器的前一周期、当前周期和后一周期的值确定。注意:XRD`和XWE`是互斥信号。 XR/W` --- 通常为高电平,当为低电平时表示处于写周期,当为高电平时表示处于读周期。 XREADY --- 数据准备输入,被置1表示外设已为访问做好准备。XREADY可被设置为同步或异步输入。在同步模式中,XINTF接口块在当前周期结束之前的一个XTIMCLK时钟周期内要求XREADY有效。在异步模式中,在当前的周期结束前XINTF接口块以XTIMCLK的周期作为周期对XREADY采样3次。以XTIMCLK频率对XREADY的采样与XCLKOUT的模式无关。 JTAG和其他信号 X1/XCLKIN --- 振荡器输入/内部振荡器输入,该引脚也可以用来提供外部时钟。C28x能够使用一个外部时钟源,条件是要在该引脚上提供适当的驱动电平,为了适应1.8V内核数字电源(VDD),而不是3.3V的I/O电源(VLDIO)。可以使用一个嵌位二极管去嵌位时钟信号,以保证它的逻辑高电平不超过VDD(1.8V或1.9V)或者去使用一个1.8V的振荡器。 X2 --- 振荡器输出。 XCLKOUT --- 源于SYSCLKOUT的单个时钟输出,用来产生片内和片外等待状态,作为通用时钟源。XCLKOU丁与SYSCLKOUT的频率或者相等,或是它的1/2,或是l/4。复位时XCLKOUT=SYSCLKOUT/4。 TESTSEL --- 测试引脚,为TI保留,必须接地。 TEST1 --- 测试引脚,为TI保留,必须悬空。 TEST2 --- 测试引脚,为TI保留,必须悬空。 TMS --- JTAG测试模式选择端,有内部上拉功能,在TCK的上升沿TAP控制器计数一系列的控制输入。 TDI --- 带上拉功能的JTAG测试数据输入端,在TCK的上升沿,TDI被锁存到选择寄存器、指令寄存器或数据寄存器中。 TDO --- JTAG扫描输出,测试数据输出。在TCK的下降沿将选择寄存器的内容从TDO移出。 TCK --- JTAG测试时钟,带有内部上拉功能。 TRST` --- 有内部上拉的JTAG测试复位。当它为高电平时扫描系统控制器件的操作。若信号悬空或为低电平,器件以功能模式操作,测试复位信号被忽略。 注意:TRST`上不要用上拉电阻。它内部有上拉部件。在强噪声的环境中需要附加上拉电阻,此电阻值根据调试器设计的驱动能力而定。一般取22K即能提供足够的保护。因为有了这种应用特性,所以使得调试器和应用目标板都有合适且有效的操作。 EMU0 --- 带上拉功能的仿真器I/O口引脚0,当TGST`为高电平时,此引脚用作中断输入。该中断来自仿真系统,并通过JTAG扫描定义为输入/输出。 EMU1 --- 仿真器引脚1,当TGST`为高电平时,此引脚输出无效,用作中断输入。该中断来自仿真系统的输入,通过JTAG扫描定义为输入/输出。 XRS` --- 器件复位(输入)及看门狗复位(输出)。器件复位,XRS使器件终止运行,PC指向地址0x3FFFCO。当XRS为高电平时,程序从PC所指出的位置开始运行。当看门狗产生复位时,DSP将该引脚驱动为低电平,在看门向复位期间,低电平将持续512个XCLKIN周期。该引脚的输出缓冲器是一个带有内部上拉(典型值100mA)的开漏缓冲器,推荐该引脚应该由一个开漏设备去驱动。 ADC模拟输入信号 ADCINA7 ~ ADCINA0 --- 采样/保持A的8通道模拟输入。在器件未上电之前ADC引脚不会被驱动。 ADCINB7 ~ ADCINB0 --- 采样/保持B的8通道模拟输入。在器件未上电之前ADC引脚不会被驱动。 ADCREFP --- ADC参考电压输出(2V)。需要在该引脚上接一个低ESR(50m~1.5欧姆)的10uf陶瓷旁路电容,另一端接至模拟地。 ADCREFM --- ADC参考电压输出(1V)。需要在该引脚上接一个低ESR(50m~1.5欧姆)的10uf陶瓷旁路电容,另一端接至模拟地。 ADCRESE-XT --- ADC外部偏置电阻(24.9K)。 ADCBGREFN --- 测试引脚,为TI保留,必须悬空。 AVDDREFBG --- ADC模拟电源(3.3V)。 AVSSREFBG --- ADC模拟地。 ADCLO --- 普通低侧模拟输入。 VSS1 --- ADC数字地。 VSSA1、2 --- ADC模拟地。 VDD1 --- ADC数字电源(1.8V)。 VDDA1、2 --- ADC模拟电源(3.3V)。 VDDAIO --- I/O模拟电源(3.3V)。 VSSAIO --- I/O模拟地。 电源信号 VDD --- 1.8V或1.9V核心数字电源。 VSS --- 内核和数字I/O地。 VDDAIO --- I/O模拟电源(3.3V)。 VDDIO --- I/O数字电源(3.3V)。 VSSAIO --- I/O模拟地。 VDD3VL --- flash核电源(3.3V),上电后所有时间内都应将该引脚接至3.3V。 GPIO和外设共用的管脚 EV-A。 PWM1--6。 T1PWM_T1CMP --- 定时器1输出。 T2PWM_T2CMP --- 定时器2输出。 CAP1_QEP1 --- 捕获输入。 CAP2_QEP2 --- 捕获输入。 CAP3_QEP11 --- 捕获输入。 TDIRA --- 计数器方向。 TCKINA --- 计数器时钟输入。 C1TRIP` --- 比较器1输出。 C2TRIP` --- 比较器2输出。 C3TRIP` --- 比较器3输出。 T1CTRIP`_PDPINTA` --- 定时器1比较输出。 T2CTRIP`/EVASOC` --- 定时器2比较输出或EV-A启动外部AD转换输出。 EV-B。 PWM7--12。 T3PWM_T3CMP --- 定时器1输出。 T4PWM_T4CMP --- 定时器2输出。 CAP4_QEP12 --- 捕获输入。 CAP5_QEP4 --- 捕获输入。 CAP6_QEP3 --- 捕获输入。 TDIRB --- 计数器方向。 TCKINB --- 计数器时钟输入。 C4TRIP` --- 比较器4输出。 C5TRIP` --- 比较器5输出。 C6TRIP` --- 比较器6输出。 T3CTRIP`_PDPINTB` --- 定时器3比较输出。 T4CTRIP`/EVBSOC` --- 定时器4比较输出或EV-B启动外部AD转换输出。 中断信号 XINT_XBIO` --- XINT1或XBIO`核心输入。 XINT2_ADCSOC --- XINT2或开始AD转换。 XINMI_XINT13 --- XNMI或XINT13。 SPI SPISIMOA --- SPI从动输入,主动输出。 SPISOMIA --- SPI从动输出,主动输入。 SPICLKA --- SPI时钟。 SPISTEA --- SPI从动传送使能。 SCI-A,SCI-B。 SCITXDA --- SCI-A发送。 SCIRXDA --- SCI-A接收。 SCITXDB --- SCI-B发送。 SCIRXDB --- SCI-B接收。 CAN CANTXA --- CAN发送。 CANRXA --- CAN接收。 MCBSP MCLKXA --- 发送时钟。 MCLKRA --- 接收时钟。 MFSXA --- 发送帧同步信号。 MSXRA --- 接收帧同步信号。 MDXA --- 发送串行数据。 MDRA --- 接收串行数据。 XF——CPU输出 XF_XPLLDIS` --- 引脚有3个功能:1、XF一通用输出引脚。2、XPLLDIS -- 复位期间此引脚被采样以检查锁相环PLL是否被使用,若该引脚采样为低,PLL将被禁止。此时,不能使用HALT和STANDBY模式。3、GPIO --- 通用输入/输出功能。 2.3 相关硬件设计 2.3.1 DSP与液晶模块的直接访问接口 这里,将液晶模块映射在DSP的XZCS6区上,由于制造商已经装配好了液晶显示驱动和分压电路,并提供了驱动电路接口,使得液晶显示模块和微处理器的接口十分方便。该模块共有13条信号线。RS是寄存器选择,低电平选择指令寄存器,高电平选择数据寄存器。R/w是读写控制端,低电平写显示模块,高电平读显示模块。CSA、CSB为驱动器片选信号线,可以选择相应的显示区域。E为允许输入信号线(数据读、写操作允许信号),高电平有效。DB0~DB7为数据线。功能框图如图2-2所示。 图2-2 模块功能框图 在实际电路设计中还需注意,由于该液晶显示模块是5 V设备,所以在连接控制线、数据线时需要加电平隔离和转换器件。可以使用74LS245芯片。 2.3.2 基于CPLD的硬件等待电路 由于DSP芯片需要通过XREADY信号来延长读、写周期,使之与液晶显示模块的E的高电平信号相匹配,所以设计了外部硬件等待电路。该电路是通过 CPLD芯片EPM7064S来实现的。EPM7064S是Ahera公司的MAX7000系列产品。它可以很容易地实现地址译码、等待时序的插入,并且是通过编写程序来实现各种逻辑的,容易修改,可移植性强,便于调试。其中,它的输入时钟为TMS320F2812的输出信号XCLKOUT。 2.4 DSP最小系统 2.4.1 电源转换 DSP最小系统仅有5V电源供电,由于DSP芯片供电电压只能是3.3V,所以在设计电路时,需要将5V电源转换为3.3V给CPU供电,因此使用了TI公司的5V/3V的TPS7333Q高性能稳压芯片,并可提供上电复位信号,该信号/RS—DSP接到DSP的复位引脚上。该芯片最大输出电流500mA。TPS7333Q输出后的10μf和0.1μf的电容不能省略,否则得不到稳定的3.3V电压。图2-3为电源转换原理图。 图2-3 电源转换原理图 2.4.2片外程序和数据存储器 为试过程,提高调试效率,系统扩展了片外的程序存储器,这样就不用每次都把程序烧入片内FLASH中,可以方便的在线调试。由于TMS320F2812采用20MHZ时钟频率,即时钟周期为50ns,对存储器的存储速度要求较高,为此我们采用的是Cypress公司的Cy7c1021v芯片,其存储时间为33ns,数据宽度是16位,容量64k。通过与门电路实现逻辑将其共用为程序RAM和数据RAM。该RAM的低32K被定义为数据空间,地址为0x0000-0x7FFF;高32K被定义为程序空间,地址为0x8000-0xFFFF。图2-4为片外存储器扩展。 图2-4片外存储器扩展 2.4.3 时钟电路 TMS320 F2812 DSP的时钟可以有两种连接方式,即外部振荡器方式和谐振器方式。本文采用的是外部有源时钟方式,直接选择一个3.3V供电的30MHz有源晶振实现。晶振电路如图2-5所示。 图 2-5时钟电路 2.4.4 JTAG仿真接口电路 几乎所有的高速控制器和可编程器件都配有标准仿真接口JTAG,F2812也不例外。JTAG扫描逻辑电路用于仿真和测试,采用JTAG可实现在线仿真,同时也.是调试过程装载数据、代码的唯一通道。通过JTAG接口可将仿真器与目标系统相连接。为了与仿真器通信,DSP控制板必须带有14引脚的双排直插管座。F2812和14针仿真插座连接的电路如图2-6。 图2-6 JTAG接口 2.5 硬件的调试 2.5.1 电路测试及目标板识别 监测系统输入和输出工作电压后,监测上电复位及手动复位电路工作情况。利用DSP仿真器进行硬件仿真,进入CCS坏境,识别目标器件,表明硬件基本正常。 2.5.2 事件管理器产生PWM波功能测试 TMS320 F2812内核集成的两个事件管理器EVA和EVB提供了强大的控制功能,特别适合运动控制和电机控制等领域。F2812的每个事件管理模块可以同时产生8路脉宽调制信号,包括3对由完全比较单元产生的死区可编程PWM信号以及有通用定时器产生的2路独立的PWM信号。 2.5.3 基于串口通信的数据采集功能测试 F2812串口支持16级接收和发送FIFO,有一个16位波特率选择寄存器,灵活性极大。此外,芯片上集成了一个12位ADC,具有16通道服用输入接口,两个采样保持电路,最快转换周期为60ns。 第3章 软件的设计 3.1 软件简介 在F2812中,对外部器件的读、写访问都是通过外部接口模块XINTF来实现的。它类似于C240X的外部接口,但也作了三方面的改进。 (1) 原来的TMS320LF240X系列,程序存储空间、数据存储空间和I/o空间都映射在相同的地址(0000~FFFF),对它们的访问是通过不同的指令来区分的;而在F2812中,外部接口模块分成了5个固定的存储映像区域:XZCS0、XZCSl、XZCS2、XZCS6、XZCS7,可寻址1 MB的片外存储器空间,具有独立的地址。 (2) F2812的每个XINTF区都有一个片选信号。其中,有地区域的片选信号在内部是“与”在一起的,组成了一个共享的芯片选择,比如XZCSo和 XZXSl共享一个片选信号XZCSO、ANDI,XZCS6和XZCS7共享一个片选信号XZCS6XZCS7.在这种方式下,同一个外部器件可被连到两个区,或者可以用外部译码逻辑来区分这两个区。 (3) 5个固定存储映像区域的每一个区还可以分别对等待状态数、读写选通信号的建立时间、激活时间和保持时间进行编程。 3.2 基本功能 3.2.1 2812读写时序 可编程的等待状态、芯片选择和可编程的选通时间使得该接口与外部存储器及外设脱离了联系,可以灵活、独立地进行外部扩展。这里,对外部器件进行读、写访问的基时钟是xINTF内部时钟xTIMCLK。通过写XINTF-CNJF2寄存器的XTIMCLK位,可以将该时钟配置成与SYSCLK0UT相等和等于SYSCLKOUT的1/2,并且,对任何一个映射在XINTF区的外部器件进行读、写访问都可划分为建立、激活和跟踪三个阶段。可以通过相应的 XTIMINCO/1/2/6/7寄存器来设置这三个阶段的周期,使之满足系统的需要。F2812的读写时序如图3-1和3-2所示。 图 3-1 TMS320F2812读时序 图3-2 TMS320F2812写时序 由图可知,在建立阶段,相应XINTF区的片选信号变为低电平,地址有效;默认情况下,该阶段的周期为最大值——6个XTIMCLK周期。在激活阶段,对外部器件进行访问:在读访问时,读选通信号(XRD)变低并将数据锁入DSP;在写访问时,写选通信号(XWE)变低并将数据放置在数据总线上。默认情况下,该阶段的周期为最大值——14个XTIMCLKK。在跟踪阶段,读或写选通信号变回为高电平,但其地址仍保持有效。默认情况下,该阶段的周期为最大值——6个XTIMCLK周期。 由此可得,F2812的读、写周期(激活阶段)的最大值为14个XTIMCLK周期。如果将XTIMCLK的频率设置为SYSCLKOUT的1/2,则读、写周期的最大值为180 ns;并且,其读、写操作数据的保持时间最大可以达到6个XTIMCLK周期——80 ns。因此,F2812能够实现与常用外围芯片的时序匹配,如RAM、D/A等;但是,当遇到读、写周期十分缓慢的输入/输出设备,如液晶显示模块、打印机、键盘时,就需要设计相应的外部硬件等待电路。 3.2.2 液晶显示模块的读写时序 以深圳市拓普微公司的LM19264A汉字图形液晶显示模块为例,读写时序如图3-3和3-4所示。 图3-3液晶模块的读时序 图3-4液晶模块的写时序 该液晶模块的使能信号E的周期tcYc最小为1500 ns,使能信号脉冲宽度tWEH、twEL最小为700 ns。在E为高电平时,该液晶模块处于读、写周期。如果采用直接控制方式,即CPU采用总线方式控制液晶模块,DSP的读、写周期最大值为180 ns,而液晶模块的读、写周期,即E的高电平信号,最小为700 ns。DSP的读、写时序不能满足该液晶模块的要求。如果采用间接的控制方式,即CPU采用并口方式控制液晶模块,可以实现二者的时序匹配,但会降低接口效率。显然,最好的方法就是设计相应的外部硬件等待电路来扩展DSP的读、写周期。 3.2.3 F2812的XREADY信号 F2812通过采样XREADY信号,可以扩展读、写访问的激活阶段。因此,可以利用该信号讲行硬件扩展。从而产生任何数目的等待状态。 在F2812中,对XREADY信号的采样可以分为同步采样和异步采样两种。同步采样时,在总的建立激活周期之前,对XREADY采样一个 XTIMCLK周期;而异步采样时,在总的建立激活周期之前,要对XREADY采样三个XTIMCLK周期。可见,在异步采样方式中,XREADY信号需要保持三个XTIMCLK周期,不会因为XREADY信号在激活周期之前的一个低电平扰动就产生等待状态,增强了系统的抗扰能力。默认情况下,该芯片采用异步采样方式。 无论是同步采样还是异步采样,如果发现XREADY信号为低,则激活阶段扩展一个XTIMCLK周期,在下一个XTIMCLK周期期间,XREADY再次被采样。这个过程一直继续,直至XREADY采样为高。因此,可以利用F2812的XREADY信号和相关的外部读、写控制信号,通过硬件扩展,与外部设备进行直接的连接访问。 3.2.4 相关VHDL architecture 1cdcontrol of 1cd is CONSTANT count_model:INTEGER:=32 signal adr:std_logic_vector(6 downto 0); begin process(clk,dspreset) VARIABLE cnt: INTEGER RANGE 0TO 32; Begin adr<=a18&a15; if(dspreset=’0’) then XREADY<=’1’; SMRST<=’1’—复位状态 E<=’0’; elsif (clkevent AND clk=’1’) then if(xzcs6=’0’and adr=”01”and(xwe and xrd)=’0’) then E<=’1’;SMRST<=’1’; If cnt =count_model-1 then Cnt:=0; else cnt:=cnt+1; end if; if cnt=count_model-1 then XREADY<=’1’; else XREADY<=’0’; end if; else SMRST<=’1’;XREADY<=’1’;E<=’0’; end if; end if; end process; end lcdcontrol; 扩展了32个XCLKOUT周期,等待状态为853 ns,满足液晶模块的时序要求;但在实际应用中,由于液晶模块的显示速度过快,显示效果不是很好。这里,由于采用了CPLD芯片,可以修改VHDL程序,将循环次数由32次增加到146次,从而可以很方便地将等待状态延长为4 μS左右,实际效果也满足了要求。 3.2.5 DSP对液晶模块连续的读写访问 当F2812对液晶显示模块进行连续的读、写操作时,两个连续的读、写周期(激活阶段)之间的时间间隔为上一个操作的跟踪阶段和这一个操作的建立阶段,最大为12个XTIMCLK周期(156 ns),不能延时。而由液晶的时序图可知,对液晶的连续两次操作的时间间隔,即使能信号E为低电平的时候,最小为700 ns。可见,两者之间时序不能匹配。于是,在连续两个命令之间加人了延时语句。虽然这种方法较之硬件实现效率要低,但完全可以满足系统的设计要求。 void Delay(unsigned int nDelay) { int ii,jj,kk=0; for(ii=0,ii
/
本文档为【DSP(2812)最小系统设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索