为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

密码锁课设

2017-09-28 20页 doc 145KB 108阅读

用户头像

is_531654

暂无简介

举报
密码锁课设密码锁课设 课程设计说明书 设计题目: 电子密码锁 系 别: 电子信息工程系 班 级: ZB092 学生姓名: 指导教师: 成 绩:_______________________ 2008年 4 月 5 日 课 程 设 计 任 务 书 课程设计题目 电子密码锁 1.具有开锁,报警,封锁的功能。 功能 技术指标 2.密码可预置。 3.累计两次误码,自动封锁并发出报警声。 工作量 (1)3月24日至3月26日分析课题,并设计电 路; 工作计划 (2)3月27日购买元器件,筹备所用工具; (3)3月28日至3月3...
密码锁课设
密码锁课设 课程设计 设计题目: 电子密码锁 系 别: 电子信息工程系 班 级: ZB092 学生姓名: 指导教师: 成 绩:_______________________ 2008年 4 月 5 日 课 程 设 计 任 务 书 课程设计题目 电子密码锁 1.具有开锁,报警,封锁的功能。 功能 技术指标 2.密码可预置。 3.累计两次误码,自动封锁并发出报警声。 工作量 (1)3月24日至3月26日分析课题,并设计电 路; 工作 (2)3月27日购买元器件,筹备所用工具; (3)3月28日至3月31日搭接电路并进行调试; (4)4月1日至4月5日采集资料攥写; (5)4月8日构画原理图与框图。 指导教师评语 指导教师签字: 2008年 4 月 5 日 目 录 第1章 绪论„„…………………………„„…………………………1 „„„„„„„„„„„„„„„„„„„„ 2 1.1 课题背景 1.2 设计要求 „„„„„„„„„„„„„„„„„„„„2 1.3 设计功能 „„„„„„„„„„„„„„„„„„„„2 1.4 电子密码琐的基础知识„„„„„„„„„„„„„„„ 2 第2章 整机电路的组成和单元电路设计………„„„………………7 2.1 整机电路的组成 „„„„„„„„„„„„„„„„„„7 2.2 电路的方框图 „„„„„„„„„„„„„„„„„„„7 2.3 单元电路设计 „„„„„„„„„„„„„„„„„„„7 第3章 整机电路的工作原理 „„…„………………………………12 电路的组装调试剂实验数据 …„„…………………………14 第4章 结论 ……………„„………………………………………………… 15 …„„…………………………………………………… 16 收获和体会 致谢„„„………………………………………………………………17 参考文献 „………………„………………………………………… 18 附录 1„„………………………………………………………………19 附录 2„„………………………………………………………………20 附录 3„„………………………………………………………………21 哈尔滨工业大学华德应用技术学院课程设计用纸 第1章 绪论 1.1 课题背景 数字密码锁是二十一世纪制锁业的一次革命。密码锁的特点是不用钥匙、无锁孔、机械传动、不易损坏、不磨损、不易被破译、可多次更换密码、换号不换锁、一把锁多个密码,具有防拨、防砸、防撬、防堵等功能。安装门锁时不破坏原门的结构,避免用钥匙开启旋芯式锁具的一切烦恼(如丢、落、拆、堵门被反锁等)。“数字密码锁”是利用数字密码来开启的锁具,其重复概率仅为十万分之一,有着很高的安全性;而旋芯式锁具使用不够安全。通过对社会各阶层千余人的调查,百分之百的人对目前身上挂着的串串钥匙无可奈何。但现在又没有一种锁具可摆脱钥匙的束缚。都愿意一身轻松没有任何顾虑的出入家门,都愿意用上一种既安全方便又不用钥匙的锁具。因此,密码锁的市场发展前景极为广阔。在调查的千 的人有丢失钥匙的经历,25%的人有把钥匙反锁在室内余人中有60% 的,75%的人居室在三层以上的,36%的人把钥匙忘在工厂的,有8%的人是利用邻居的阳台、窗户跳跃进入自己家来打开被反锁的房门,90%的人或听或看新闻得知有因无法打开房门,而冒险跃窗发生事故非死既伤,给家庭造成麻烦。精神和肉体的损伤是无法挽回的,为了解决上述各种数据给人们带来的各种烦恼,所以必须用一种新的产品来取代旧式产品,使人们在无忧无虑的环境中生活。我国有31个省(直辖市),若每个省以10个市计算,每个产品每市每年售1000个计算,公式为省×市×销售量×单价=产值、31(省)×10(市)×1000(个)×单价=产值、下面以防盗门、保险箱、门锁三个产品为例:一、防盗门:31×10×1000×700=217,000,000(元)二、保险箱:31×10×1000×200=62,000,000(元)三、门锁;31×10×1000×90=27,900,000(元)总产值:360,900,000(元)通过以上计算年产值是十分可观的,如果开发之初,年销售量达不到1000个,而以一半的销售量计算,年产值也可达到153,450,000元。 本题要求用电子器件设计制作一个密码锁的控制电路,使之输入正确的代码时,锁自动打开;当输入密码不正确两次后,电路自动报警并自锁。 本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安全工作,具有极高的安全系数。本文首先对电子密码锁的基础知识进行了详细说明,然后详细分析了电子密码锁的工作原理,各组成部分的作用,最后介绍了电子密码锁在安装调试中遇到的现象以及其对应的解决方 1 哈尔滨工业大学华德应用技术学院课程设计用纸 案。本文通过电子密码锁电路的剖析,对电子密码锁工作原理有较深入的了解。在讲解电子密码锁电路的原理中,结合介绍了常见的集成电路,如CD4017,CD4069和NE555等。掌握这些电路的特点,能为进一步学习电子技术打下一定的基础。 设计本课题时构思了两种:一种是用以十进制计数器CD4017,双四位锁存器CD4058,四2输入异或门CD4070,双4输入或门CD4072为组成电子密码锁方案;另一种是用十进制计数器CD4017和NE555为核心机械式控制方案。考虑到前面方案原理复杂,而且调试较为繁琐,密码必须同时输入时才能有效,所以本文采用后一种方案。 1.2 设计要求 1.2.1 设计题目:电子密码锁。 1.2.2 设计指标 1(密码可预设置。 2(累计两次误码,自动封锁并发出报警声。 1.3 设计功能 1.3.1 开锁的功能 当密码输入正确的时候,继电器吸合,发出开锁的声音。 1.3.2 报警的功能 如果密码输入错误两次以后,报警电路就会发出报警的声音。 1.3.3 封锁的功能 如果密码输入错误两次以后,电路自动封锁,再输入密码没有作用。 2 哈尔滨工业大学华德应用技术学院课程设计用纸 1.4 电子密码锁的基础知识 1.4.1 十进制计数,分频器CD4017 内部结构框图如图1-1所示,其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是Q0、Q1、Q2、Q3、Q4、Q5、Q6、Q7、Q8、Q9依次出现与时钟同步的高电平,宽度等于时钟周期。 CD4017有10个输出端(Q0,Q9)和1个进位输出端Q9。CLK端每输入10个计数脉冲,Q9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。CD4017有3个控制端(CLR、CLK和/CE),CLR为清零端,当在CLR端上加高电平或正脉冲时其输出Q0为高电平,其余输出端(Q1,Q9)均为低电平, ,Q9不受时钟脉冲CLK端的控此时CD4017被封锁,计数输出端Q0 制;CLK是时钟输入端,在时钟脉冲的上升沿进位;/CE为片选端。 由此可见,当CD4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器,本电路就是利用进位计数这一特点来进行密码检验与控制。 图1-1 CD4017 内部结构功能框图 3 哈尔滨工业大学华德应用技术学院课程设计用纸 十进制计数,分频器CD4017的管脚图如图1-2所示;其功能表如表1-1所示。 图1-2 CD4017的管脚图 CD4017引脚功能表如表1-1所示。 表1-1 CD4017的功能表 CLR CE CLK 功能 1 X X CLR为0 0 1 上升沿 计数 0 0 下降沿 计数 1.4.2 NE555 NE555芯片是我们再也熟悉不过的时基电路,用他可以组成各种功能电路,在家用电子设备里面应用非常的广泛,例如元器件测量仪、家用电器控制装置、门铃、报警器、电路检测仪器、定时器、信号发生器、压频转换电路、电源应用电路、自动控制装置及其它应用电路等,下面我介绍一下他的相关资料。 NE555芯片的内部含有两个电压比较器,一个RS触发器,一个分压器,一个放电晶体管三极管和一个功率后极输出级。是CMOS工艺制造的, 具体请见下图1-3所示。 4 哈尔滨工业大学华德应用技术学院课程设计用纸 图1-3 NE555管脚功能图 NE555集成电路的内部中心电路是2个晶体三极管加正反馈组成的RS触发器。NE555输入控制端有直接复位Reset端,通过比较器A1,复位控制端的TH、比较器A2置位控制的T。输出端为F,还有集电极开路的放电管DIS。它们控制的优先权是R、T、TH。NE555集成电路的内部电路方框图如图1-4所示。 图1-4 NE555内部电路方框图 5 哈尔滨工业大学华德应用技术学院课程设计用纸 我们知道,555电路在应用和工作方式上一般可归纳为3类。每类工作方式又有很多个不同的电路。在实际应用中,除了单一品种的电路外,还可组合出很多不同电路,如:多个单稳、多个双稳、单稳和无稳,双稳和无稳的组合等。 1.4.3 CMOS数字集成电路CD4069 CD4069为CMOS数字集成电路,是一种高输入阻抗器件,容易受外界干扰造成逻辑混乱或出现感应静电而击穿场效应管的栅极。虽然器件内部输入端设置了保护电路,但它们吸收瞬变能量有限,过大的瞬变信号和过高的静电电压将使保护电路失去作用,因此,CD4069中未使用的非门F4、F5、F6的输入端{9}、{11}、{13}脚均接到Vss接地端,以作保护。CD4069引脚图如图1-5所示。 图1-5 CD4069引脚图 6 哈尔滨工业大学华德应用技术学院课程设计用纸 第2章 电子密码锁电路分析 2.1 整机电路的组成 整机电路有密码预置电路、密码输入电路、密码检验电路、开锁与控制电路、报警与封锁电路以及电源组成,整机原理图见附录1。本电路重新设置密码及识别错误密码报警的功能,如果输入密码与设置密码不符时,就会使报警电路发出报警声。图见附录2。 2.1 电路的方框图 电子密码锁电路方框图见附录1。 2.2 单元电路设计 2.1.1 密码预置部分 密码预置部分主要采用了机械式八路单刀开关,通过八路单刀开关进行设置密码,可以设置一亿组密码,可称为超级密码锁。本电路的密码修改是通过跳接帽完成的,例密码133377779,就将1-16,3-14,6-11,8-9连接。如图2-1所示。 图2-1 密码预置电路图 7 哈尔滨工业大学华德应用技术学院课程设计用纸 2.1.2 密码输入部分 预置密码部分的密码由密码输入电路进行输入,密码输入部分 -2。 电路图如2S1 VD9S2 R1 4.7KVD10S3GND R2 4.7KVD11S4GND R3 4.7KVD12S5R9R10GND 10K5.1MR4R11C1C24.7K10M0.01u0.01uVD13S6GNDGNDGNDGNDR5 4.7KVD14S7GND R6 4.7KVD15S8GND R7 4.7KVD16S9GND R8 4.7K 图2-2 密码输入电路图 GND 2.1.3 密码检测部分 密码检测电路如图2-3。当输入密码正确的时候,CD4017的输出端Q9输出高电平,将高电平送入开琐与控制电路的输入端;当输入错误密码时,CD4017的输出端Q9输出地电平,将低电平送入开琐与控制电路的输入端。 8 哈尔滨工业大学华德应用技术学院课程设计用纸 2.1.4 开锁与控制部分 开锁与控制部分主要由NE555,继电器及部分电路组成,如图-4。 2 NE555电路组成的施密特触发器,受低电平的触发而翻转并输出高电平,当它的2和6脚加上低电平时,它的输出端3就会高电平并通过使VT1导通而将锁打开。当NE555输入端输入高电平时,输出端将输出端输出低电平从而导致三极管禁止,因此锁不能被打开。 3Q0 VDD16VD1142CLKQ1 VD24Q2 IC1VD37Q3CD4017A VD410Q415CLRVD51Q5 VD65Q6 VD76Q7 VD89Q813CE11Q9Vss8 GND 图2-3 密码检测电路图 2.1.5 报警与封锁部分 报警与封锁部分主要由十进制记数器CD4017,音乐集成电路,扬声器以及部分电路组成,如图2-5所示。当输入密码正确时,CD4017的CLK端(14脚)输入低电平,发光管LED亮。当输入 9 哈尔滨工业大学华德应用技术学院课程设计用纸 密码不正确时,CD4017的LCK端(14脚)受高电平触发,这时CD4017在时钟脉冲的上升沿开始进位,发光管LED熄灭,CD4017输出端就会移动,当按动复位开关一次,输出端移到Q1,当按动2 输出高电平,则通过VD2~VD8加在VT2的基极次后,Q2~Q8依次 使VT2导通并触发报警电路,使其发出报警声。与此同时,高电平还加在密码输入部分的CD4017的复位端,使输入密码失效。 图2-4 开锁与控制电路图 以上五部分就是电子密码的单元电路,本电路的最大优点是密码可以设置一亿组以上,可称为超级密码琐;.同时具有开锁,报警,封锁,密码可预置的功能。电路的缺点就是密码的重设需要机械式修改,比较麻烦。 10 哈尔滨工业大学华德应用技术学院课程设计用纸 +6V R15LED3Q03.9K2Q1GND 4VD20Q2+6VSB2 7IC3VD21Q3CD4017B 10VD22Q414CLK1VD23R14Q575K5VD24Q6 6VD25Q713CE9VD26Q88Vss11Q9CLR15VDD16 VD27C6R160.1u5.1K +6VR17GND R19100R18YSQ304.7K VT2 9014 VT3 9013 GND 音乐集成电路 GND 图2-5报警与封锁电路 11 哈尔滨工业大学华德应用技术学院课程设计用纸 第3章 整机电路的工作原理 整机电路见附录2。首先通过8根接线柱,八路单口开关预置密 VD8负极,按码,8根接线接柱与八路单刀开关的一侧相接,VD1 — 顺序排列,通过跳线帽,将接线柱的1脚2脚3脚连接在一起,(即把VD1—VD3的负极连接在一起)再利用跳线帽将接线柱的4脚5脚6脚7脚连接在一起,(即把VD4—VD7的负极连接在一起),然后将八路单刀开关的第二路,第六路,第八路闭合,此时设置的密码为133377779。 接通电源,各电路受电工作,IC1与IC3的Q0端首先输出高电平,IC3的Q0端的LED发光。这时第一步应该按下复位开关S1,Q0端(CD4017 3脚)输出的高电平通过S1,R9,R10后,由R11,C2形成一个脉冲,此脉冲的下降沿加至IC1的13脚(/CE)端使Q1输出高电平。 按照前面预设置的密码,应按下S3,固Q1,Q2,Q3输出给IN4148连接在一起,因此Q1输出的高电平通过VD1(IN4148),R1,R3,S3,R9,R10后,由R11,C2形成一个脉冲,加至IC1的Q2输出高电平,再按下S3,经R9,R10后R11,C2形成一个脉冲加至IC1 13脚,Q3输出高电平,以同样过程使IC1的Q4输出高电平。 因Q4,Q5,Q6,Q7输出经VD4,VD5,VD6,VD7连接在一起,Q4输出的高电平经VD4八路开关第六路,再经S7,R9,R10后,R11,C2形成一个脉冲加至IC1的13脚,使Q5输出一个高电平,以同样的过程IC1的Q6,Q7,Q8依次输出高电平。 Q8输出的高电平给VD8八路电刀开关的第八路,再经S9,R9,R10后R11,C2形成一个脉冲加至IC1的13脚,使Q9输出高电平。 Q9输出高电平送入六反相器CD4069的3脚,CD4069 4脚输出低电平,按动密码确认开关SB2后放开,在按开锁开关SB1门锁打开,因密码输入正确,报警控制电路没有发生动作。 输入密码与预设置电路的密码133377779不同时防盗报警电路动作。 接同电源电路受电工作,IC1,IC3的Q0输出高电平,按下S1通过R9,R10后R11与C2产生一个脉冲,加至IC1 13脚,使Q1输出高电平。 按下S2,经R9,R10后,R11与C2未能产生脉冲仍然是低电平,IC1的13脚保持低电平不变,依次Q2没有高电平输出,当按下S3,S7,S9,IC1的13脚仍然是低电平,因Q9输出低电平以低电平送给CD4069 3脚,4脚输出高电平,按下SB2确认开关,按下SB1开锁 12 哈尔滨工业大学华德应用技术学院课程设计用纸 开关锁未能打开,此时IC3 14脚得到一个脉冲Q1输出高电平,Q0输出低电平LED熄灭。 当第2次输入错误密码时,IC1 Q9仍然输出殿电平,此低电平给反相器CD4069的3脚,4脚输出高电平,按下确认开关SB1,IC3 14脚又得到一个脉冲,Q1由高电平变为低电平,此时Q2输出高电平,Q2,Q3,Q4,Q5,Q6,Q7,Q8相连接,经R18给VT2的基极,VT2基极得到一个高电平,VT2导通,驱动音乐集成电路,发出报警声。 在电路报警时,IC1,IC3全部锁死输入任何密码无效,特此为IC1,IC3设置一个解锁开关,在当报警打不开门时,按下双刀开关F1,电路解锁,停止报警,从新输入正确密码方能开门。 13 哈尔滨工业大学华德应用技术学院课程设计用纸 第4章 电路的组装调试实验数据 4.1 电路组装的注意事项 1.根据原理和芯片引脚图,分功能设计原理图,并根据接线顺序分步骤验证。 2.容易出现故障为接触不良。 1) 集成块引脚方向预先弯好对准面包板的金属孔,再小心插 入。 2) 导线的剥线长度与面包板的厚度相适应(比板的厚度稍短)。 3)导线的裸线部分不要露在板的上面,以防短路。 4)导线要插入金属孔中央。 3.按照原理图接线时首先确保可靠的电源和接地。 4.注意芯片的控制引脚必须正确接好。 5.检查故障时要注意电源、接地和控制引脚。 6.要注意芯片引脚上的信号与面包板上插座上信号是否一致(集成块引脚与面包板常接触不良)。 4.2 电路的调试 本电路在调试时,由NE555组成的施密特触发器开锁控制电路,其NE555输入端易受高频脉冲的干扰,容易误动作,故在输入端接入一个0.47u的瓷片电容进行高频滤波,消除干扰信号对电子锁误动作。 本电路采用的CD4017、C4069都是CMOS型器件,其工作时有如下特点: 功耗低,工作功耗仅为几十毫瓦,静态功耗几乎为0; 工作电压范围,为3V到18V; 输入阻抗高达几十兆欧姆,几乎不消耗驱动电路功率; 温度性能好; 14 哈尔滨工业大学华德应用技术学院课程设计用纸 结 论 本次毕业设计的技术指标为:开锁,报警,封锁,密码可预置,累计两次误码自动封锁并发出报警声,以上六项技术指标都已基本完成。当输入正确密码时,可以听到开锁的声音;当密码一次错误密码时,开锁指示灯熄灭,再输入一次误码电路就会自动报警并自动封锁。另外,中期检查时,报警部分有干扰,现在也已经解决,报警部分已进入正常工作状态,能够实现自动报警功能。 存在的不足之处是:密码预置部分的密码需要机械设置,比较麻烦。 当报警部分出现干扰现象时,我们常试了很多办法,如检查电源的干扰,换集成电路等,虽然有所改善,但还是存在干扰现象,最后我们采用在报警部分输入端加了CD4069,效果更好了。 15 哈尔滨工业大学华德应用技术学院课程设计用纸 收获和体会 以上为课程设计期间所设计的电子密码锁电路,它经过多次修改和整理,以是一个比较不错的设计,可以满足人们的基本要求,但因为水平有限,此电路中也存在一定的问题,譬如说电路的密码的设置比较麻烦,这可以通过增加电路解决,但过于复杂,本次设计未加在其中;电路密码可以有一亿种可供修改,但由于他人不知道密码的位数,而且还有自动报警和自锁的功能,所以他人开锁的几率很小;电路中未加显示电路,但可通过其它数字模块实现这一功能。这需要一段时间的进一步改进,如果有好的意见,希望老师给以支持。 通过这三周的学习,我感觉有很大的收获:首先,通过学习使自己对课本上的知识可以应用于实际,使的理论与实际相结合,加深自己对课本知识的更好理解,同时实习也段练了我个人的动手能力:能够充分利用图书馆去查阅资料,增加了许多课本以外的知识。能对protel 99达到学以致用。对我们学生来说,理论与实际同样重要,这是我们以后在工作中说明自己能力的一个重要。 设计经验总结:1根据原理和芯片引脚图,分功能设计原理图,并根据接线顺序分步骤验证。2. 容易出现故障为接触不良;集成块引脚方向预先弯好对准面包板的金属孔,再小心插入。导线的剥线长度与面包板的厚度相适应(比板的厚度稍短)。导线的裸线部分不要露在板的上面以防短路。 导线要插入金属孔中央。3. 按照原理图接线时首先确保可靠的电源和接地。4.注意芯片的控制引脚必须正确接好。5.检查故障时除测试输入、输出信号外,要注意电源、接地和控制引脚。6. 要注意芯片引脚上的信号与面包板上插座上信号是否一致(集成块引脚与面包板常接触不良)。 在课程设计中,我感受到了老师对学生的那种悔人不卷的精神,每天的固定时间,老师都来给我们指导,使我们少走弯路,顺利完成课程设计,请允许我向你们致意崇高的敬意,感谢老师~ 通过这三个星期的学习,发现了自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。让我知道了学无止境的道理。我们每一个人永远不能满足于现有的成就,人生就像在爬山,一座山峰的后面还有更高的山峰在等着你。挫折是一份财富,经历是一份拥有。这次课程设计必将成为我人生旅途上一个非常美好的回忆~ 16 哈尔滨工业大学华德应用技术学院课程设计用纸 致谢 在我的课程设计中对我帮助最大莫过于我的指导教师林亭生老师了。 经过半月的忙碌和工作,本次课程设计已经接近尾声,作为一个本科生的毕业设计,由于经验的匮乏,难免有许多考虑不周全的地方,如果没有老师的督促指导,以及一起工作的同学们的支持,想要完成这个设计是难以想象的。 在这里首先要感谢我的老师温海洋老师。温老师平日里工作繁多,但在我做课程设计的每个阶段,从查阅资料到设计草案的确定和修改,中期检查,后期详细设计,装配草图等整个过程中都给予了我悉心的指导。我的设计较为复杂烦琐,但是温老师仍然细心地纠正图纸中的错误。除了敬佩温老师的专业水平外,他的治学严谨和科学研究的精神也是我永远学习的榜样,并将积极影响我今后的学习和工作。在整个论文的撰写及修改过程,都是在温老师的热心指导下完成的,在此,向在毕业设计当中给予我提供帮助的温老师说一声“老师您辛苦了~” 预祝老师在今后的生活及工作中,身体健康、工作顺利,在电子领域有更大的飞跃。 其次要感谢与我朝夕相处搭档,这些日子,他们和我一块努力奋斗共进共退,相互鼓励相互扶持,互通有无,及时沟通,以免出现论文撞车事件。 正因为如此我们才能顺利的完成设计,我要感谢我的母校——哈工大华德学院,是母校给我们提供了优良的学习环境;另外,我还要感谢那些曾给我授过课的每一位老师,是你们教会我专业知识。 在此,我再说一次谢谢~谢谢大家~~~。 17 哈尔滨工业大学华德应用技术学院课程设计用纸 参考文献 1 余孟尝.数字电子技术基础简明教程(第二版).高等教育出版社, 376北京 2006:373- 2 赵文博.新型常用集成电路速查手册.人民邮电出版社,2006:2—50 3 刘福太.红版电子电路461例.科学出版社,2007;258-259北京 4 刘维恒.实用电子电路基础.电子工业出版社,2004: 69-71 5 谢自美.电子线路设计、实验、测试.华中理工大学出版社,2000: 107-111江西 6 康华光.电子技术基础(第四版).北京:高等教育出版社,1998 7 蔡惟铮.电子技术基础试题精选与答题技巧.哈尔滨工业大学出版 101 社,2000: 95- 8 郭培源.电子电路及电子器件.高等教育出版社,2004: 65-69 9 何小艇.电子系统设计.杭州浙江大学出版社,2004: 70-80 18 哈尔滨工业大学华德应用技术学院课程设计用纸 附录1 整机电路的方框图 报 警 与 自 锁 电路 封 与 密码检验电路 电 开锁与控制电路 源 密码预置电路 输入密码电路 19 +6V 哈尔滨工业大学华德应用技术学院课程设计用纸 3Q02附录2 整机电路的原理图 15Q1CLR4Q2+6V7Q3S110Q4113Q5S2CEVss85VDD16Q611R1Q96Q7CD4017A13CES39Q8GND8VssR2GNDS4GNDR3116215S5R9R10单八GND314R410K5.1MR11C1C241310M0.01u0.01uS6512GNDGNDGNDGNDR5611710S7GND89R6F1VD9S8GNDSW-DPSTR74.7KGNDVD10S9GNDR84.7KVD11GND 4.7KVD12 4.7KVD13VD20VD21IC24.7KVD14 4.7KVD15IC2VDD143A114.7KQ0VD1613142Y1A42CLKQ1124A2Y434.7KQ211A57Y24Q310A310Y55JP4Q4A61Y369IC1SB1Q5LEDVss5Y6VD1+6V78+6VSB2Q6CD40696VD2C5K1Q70.47IC39VD3Q8GNDVD414CLKVD5RELAY-SPDTVD6R12200KVD7VT1CLR15VD8R162/639013VDD1618112KQ954C3CD4017B0.47u555音乐集成电路GNDC40.1uVD19 VD17R15VD183.9K+6VVD22GNDR1930VD23VD24+6VVD25R14+6VVD2675K 20 VD27C6R160.1u5.1KR18GNDR17100YSQ4.7KVT29014 VT39013 GND GND
/
本文档为【密码锁课设】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索