为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

便携式心电监测仪的设计

2017-12-05 43页 doc 348KB 58阅读

用户头像

is_686908

暂无简介

举报
便携式心电监测仪的设计便携式心电监测仪的设计 吉林工程技术师范学院 学士学位论文 便携式心电监测仪的设计 作者: 盛延祖 指导教师: 王彬 A 目 录 绪论 ................................................................................................................................. 1 1 系统统方案设计 ....................................................
便携式心电监测仪的设计
便携式心电监测仪的 吉林工程技术师范学院 学士学位论文 便携式心电监测仪的设计 作者: 盛延祖 指导教师: 王彬 A 目 录 绪论 ................................................................................................................................. 1 1 系统统设计 .......................................................................................................... 2 1.1 系统功能要求 .................................................................................................... 2 1.2 医学常识 ............................................................................................................ 2 1.3 系统方框图 ........................................................................................................ 3 2 系统硬件设计 .............................................................................................................. 5 2.1 单片机介绍 ........................................................................................................ 5 2.1.1 AT89C2051主要性能 ............................................................................... 5 2.1.2 AT89C2051的引脚说明 ........................................................................... 6 2.2 传感器与信号处理电路的设计 ......................................................................... 7 2.2.1 光电式脉搏波传感器 ............................................................................... 7 2.2.2 前置放大与滤波电路 ............................................................................... 8 2.3 显示电路 .......................................................................................................... 10 2.3.1 ULN2003的功能 .................................................................................... 10 2.3.3 显示电路接口设计 ................................................................................. 10 2.4 报警电路 ................................................................................................... 11 2.5 时钟和复位电路设计 ....................................................................................... 11 2.5.1 时钟电路设计......................................................................................... 11 2.5.2 复位电路的设计 ..................................................................................... 12 3 软件设计 ................................................................................................................... 13 3.1 中端程序流程图 .............................................................................................. 13 3.1.1 定时器中断程序流程图 ......................................................................... 13 3.1.2 INT中断程序流程图 .............................................................................. 14 3.2 显示程序流程图 .............................................................................................. 15 4 调试与仿真 ................................................................................................................ 16 4.1 仿真软件 .......................................................................................................... 16 I 4.2 调试仿真中注意的问 ................................................................................... 16 结论 ............................................................................................................................... 17 参考文献 ....................................................................................................................... 18 附录A 心率监测仪电气原理图 ................................................................................. 19 附录B 部分源程序 ...................................................................................................... 20 致 谢 .......................................................................................... 错误~未定义书签。25 II 便携式心电监测仪的设计 摘 要 多年来,心率监测仪在心血管疾病的研究和诊断方面发挥出显著的作用,它们所记录的心脏活动时的生物电信号,已成为临床诊断的重要依据。目前,检测心率的仪器虽然很多,但是能像本文设计的系统一样实现精确测量、便于携带、报警等多种功能的便携式全数字心率测量装置却不多。 本系统以AT89C2051单片机为核心控制芯片,光电式脉搏波传感器采集信号,以七段数码管作为显示系统,经信号处理电路后脉冲送入单片机,由数码管显示心率。本文设计的人体心率监测仪使用方便,只需将手指端轻轻放在传感器上,即可实时显示出每分钟脉搏次数,特别适合体育训练和外出旅游等场合使用。采用红外光学检测法,能够在运动的状态下进行心率测量。该系统运行稳定,实时性强,安全可靠,系统通用性好,移植、扩展方便,同时具有功耗低,体积小,操作简单,便于随身携带等特点,适合家庭和社区医疗保健使用,对心血管疾病的早期诊断具有重要的意义。 【关键词】:心率监测 单片机 数码显示 III 绪论 心血管疾病是当今发达国家死亡率占第一位的重要疾病,在我国也是死率最 [1]高的一类疾病,世界卫生组织已将其列为2l世纪危害人类健康的头号杀手。随着人们生活水平的提高,工作方式以及膳食结构的变化,源于心脏与循环系统的不健康而导致的心血管疾病已逐渐成为威胁人类健康的主要疾病。心血管疾病是一种常见病、多发病,而且有极高的死亡率。据统计,1990年全球大约有1400万人死于心血管疾病,预计到2020年,死于心血管疾病的患者将达到2500万人[2]。目前我国城市人口中每5个成年人中就有1个人不同程度的患有心血管面的疾病。中国每年有100万人死于脑卒中,并且有更多的人致残。特别是在近,中 [3]国、日本和新西兰研究人员发现高血压是东方人脑卒中的主要原因。近来,心血管疾病的发病率逐年提高,患者的年龄却逐年降低,心血管疾病对人类身体健康产生的威胁也越来越大。人们希望通过早期采取一些积极的防治手段,使自己拥有健康。同时,中国已经进入了老龄化社会,越来越多的老年人受到慢性疾病的困扰,如高血压、冠心病、慢性支气管炎等。老年慢性疾病通常是终身性疾病,疼痛和昂贵的医疗费用不仅严重影响病人的生活质量(而且占用了大量医疗卫生资源,带来不堪重负的社会经济负担。要避免和减少高血压、心脏病这类心血管疾病给人类健康带来的严重危害,有效的早期预防、早期诊断和早期治疗方法和设备,以及快速的发病后的救治手段都是非常重要的,这些也正是目前广大医学界专家正在共同努力研究的重点,改进对心血管疾病的预防及治疗手段已经成为现代医学界的一个重大难题。 目前,现代的医学电子仪器已不仅仅是单纯的医学电子测量仪器硬件系统,而是基于电子技术、计算机技术、数字信号处理技术的生理量检测和分析系统。以往专门测量心率值的仪器较少,能提供心率变异指标的仪器更是寥寥无几。人们为了知道自己的运动或劳动强度是否超负荷,尤其是老年人或运动员等,他们都得赶到医院而不能实时测量和预知。而心电仪的出现,使心电图机进入家庭变成了可能,但基于心电工作站的模式,使个别地区的患者因医院分析诊断系统的不健全,而变得不适用;基于嵌入式及DSP的心电监护仪功能强大,但又因芯 [4]片价格的高昂而有悖于我国基本国情,不利于家庭的普及。因此,一种性能优良,带有自动监测、报警等功能,适合在家庭和社区条件下使用,同时适用于有隐性疾患的亚健康人群及各种作业环境下的劳动者,在其心率变异时,能及时发出警示的安全监护器,而又符合我国人均收入水平不高这一国情的心率监测系统的研制显得尤其重要。基于这一目的,我设计的课题就是便携式人体心率监测系统的设计。 1 1 系统统方案设计 1.1 系统功能要求 要求以AT89C2051单片机为核心控制芯片,光电式脉搏波传感器采集信号,以七段数码管作为显示系统,经信号处理电路后脉冲送入单片机,能够实现报警、数码管显示心率的频率等功能。 1.2 医学常识 心率(Heart Rate):用来描述心动周期的专业术语,是指心脏每分钟跳动的次数,以第一声音为准。心电信号是一种非常弱且频率较低的信号,一般幅值在0.05,5mV,频率在0.05,100Hz。 结构特征:心脏是一厚壁的肌性器官,由左右2心房和左右2心室 4个心腔组成。心脏的自动节律性收缩,推动血液在循环系统的各种血管中环流,使机体各组织、器官能不断地吐故纳新、新陈代谢。 正常成年人安静时的心率有显著的个体差异,平均在75次/分左右(60—100次/分之间)。心率可因年龄、性别及其它生理情况而不同。初生儿的心率很快,可达130次/分以上。在成年人中,女性的心率一般比男性稍快。同一个人,在安静或睡眠时心率减慢,运动时或情绪激动时心率加快,在某些药物或神经体液因素的影响下,会使心率发生加快或减慢。经常进行体力劳动和体育锻炼的人,平时心率较慢。 健康成人的心率为60,100次/分,大多数为60,80次/分,女性稍快;3岁以下的小儿常在100次/分以上;老年人偏慢。成人每分钟心率超过100次(一般不超过 160次/分)或婴幼儿超过 150次/分者,称为窦性心动过速。常见于正常人运动、兴奋、激动、吸烟、饮酒和喝浓茶后。也可见于发热、休克、贫血、甲亢、心力衰竭及应用阿托品、肾上腺素、麻黄素等。如果成人的心率在 160,220次/分,则称为阵发性心动过速。心率低于60次/分者(一般在40次/分以上), [5]称为窦性心动过缓。可见于长期从事重体力劳动和运动员;病理性的见于甲状腺机能低下、颅内压增高、阻塞性黄疸、以及洋地黄、奎尼丁或心得安类药物过量或中毒。如心率低于40次/分,应考虑有房室传导阻滞。心率过快超过160次/分,或低于40次/分,大多见于心脏病病人,病人常有心悸、胸闷、心前区不适,应及早进行详细检查,以便针对病因进行治疗。心电图如图1.1所示。 2 图1.1 心电图 脉搏波:人体心室周期性的收缩和舒张导致主动脉的收缩和舒张,使血流压力以波的形式从主动脉根部开始沿着整个动脉系统传播,这种波称为脉搏波。脉搏波所呈现出的形态、强度、速率和节律等方面的综合信息,很大程度上反映出 ,80次/分钟,人体心血管系统中许多生理病理的血流特征。正常人脉搏数为60婴儿为90,100次/分钟,老人为100,150次/分钟。脉搏波如图1.2所示。 图1.2 脉搏波 心率与脉搏的联系:心率与脉搏在身体正常的时候是相等的,只有在心脏出现疾病的时候出现。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量有更容易实现特点,在实际应用中得到广泛运用。 本监测系统的有效测量范围为50次,199次/分钟。 检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小;当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖、耳垂等部位最为明显。因此,本心率监测仪将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位另一侧或旁边的红外光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉沖并进行整形、 [6]计数和显示,即可实时地测出脉搏的次数。 1.3 系统方框图 检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小;当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖、耳垂等部位最为明显。因此,将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位另一侧或旁边的红外 3 [7]光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉沖并进行整形、计数和显示,即可实时地测出脉搏的次数。 心率监测仪由单片机AT89C2051、复位电路、时钟电路、传感器与信号处理电路、显示电路和报警电路等组成,如图1.3所示。 传感器与信号处理电路显 示 电 路 AT89C2051 复 位 电 路单片机 报 警 电 路时 钟 电 路 图1.3 心率监测仪系统总框图 光电式脉搏波传感器从手指获取脉搏信号,通过前置放大和滤波电路,再经过波形变换电路后,将脉搏信号转换成脉冲信号传给单片机。显示器将单片机处理后的信号显示出来,同时每次脉冲的到来均响铃,与脉搏同步。 心电信号属医学生物信号,它一般具有以下特点:随机性较强,即信号无法用确定的函数描述,而只能用统计的方法,从大量测量结果中看其规律;噪声背景强,即要测的有用信号往往淹没在许多无用信号中。常规心电信号的频带范围是O(05,100 Hz,在此频带范围内包含了心电信号90,的能量成分。由于心电信号是mV级的信号,因此对于干扰环境而言,它是非常微弱的信号。 心电信号由皮肤电极取自于人体表面,是一种低频率的微弱双极性信号。它淹没在许多较强的干扰和噪声之中。这些干扰主要包括肌电信号、呼吸波信号等体内干扰信号和以50 Hz工频干扰、电极与皮肤界面之间的噪声为主的体外电磁场干扰信号的影响。信号源阻抗大约100 kΩ,信号为10μV,5 mV,典型值为1 mV,加上周围的电磁干扰(特别是50 Hz的工频干扰)比较大,要求放大电路具有高增益、高输入阻抗和高共模抑制比;为保持信号的稳定,还要求输入失调电压和偏置电流小、温漂小;为了便于随身携带,还要求体积小、电源电压低、耗电少等。 对心电信号进行精确测量,必须设计出性能优良的放大器。放大器的核心和关键是前置级的设计。整个前置级电路由前置放大电路,陷波电路和滤波电路构成。从体表获得的心电信号经导联输入后,ECG信号经运放构成的前置放大器放大,滤波器滤除其中的高频干扰后,再经一个50 Hz陷波器进一步抑制电源干扰,然后通过电平位移进入A,D转换,从而得到数字化的心电信号。 4 2 系统硬件设计 2.1 单片机介绍 2.1.1 AT89C2051主要性能 AT89C2051是ATMEL公司生产的带2K字节闪速可编程可擦除只读存储器 ,它具有如下主要特性: (EEPROM)的8位单片机 ?和MCS-51产品的兼容 ?15根可编程I/O引线 ?2K字节可重编程闪速存储器 ?两个16位定时器/计数器 ?耐久性:1,000写,擦除周期 ?六个中断源 ?2.7V,6V的操作范围 ?可编程串行UART通道 ?全静态操作:0Hz,24MHz ?直接LED驱动输出 ?两级加密程序存储器 ?低功耗空载和掉电方式 ?128×8位内部RAM AT89C2051是一带有2K字节闪速可编程可擦除只读存储体(EEPROM)的低电压,高性能8位CMOS微型计算机。它采用ATMEL的高密非易失存储技术制造并和工业MCS—51指令集和引脚结构兼容。通过在单块芯片上组合通用的闪速存储器,ATMEL的AT89C2051是一强劲的微型计算机,它对许多嵌入式控制应用提供一高度灵活和成本低的解决办法。 此外,从AT89C2051内部结构图也可看出,其内部结构与8051内部结构基本一致(除模拟比较器外),引脚RST、XTAL1、XTAL2的特性和外部连接电路也完全与51系列单片机相应引脚一致,但P1口、P3口有其独特之处。其结构如图2.1所示。 图2.1 AT89C2051结构框图 5 2.1.2 AT89C2051的引脚说明 AT89C2051是一个有20个引脚的芯片,引脚如图2.2所示,与8051内部结构进行对比可发现,AT89C2051减少了两个对外端口(即P0、P2口),使它最大可能地减少了对外引脚,因而芯片尺寸有所减少。 图2.2 AT89C2051引脚图 AT89C2051芯片的20个引脚功能为: (1)Vcc:电源电压。 (2)GND:地。 (3)P1口:P1口是一个8位双向I/O口。引脚P1.2,P1.7提供内部上拉阻。P1.0和P1.1要求外部上拉电阻。P1.0和P1.1还分别作为片内精密模拟比较器的同相输入(AIN0)和反相输入(AIN1)。P1口输出缓冲器可吸收20mA电流并能直接驱动LED显示。当P1口引脚写入“1”时,其可用作输入端。当引脚P1.2,P1.7用作输入并被外部拉低时,它们将因内部的上拉电阻而流出电流(IIL)。P1口还在闪速编程和程序校验期间接收代码数据。 (4)P3口:P3口的P3.0,P3.5、P3.7是带有内部上拉电阻的七个双向I/0引脚。P3.6用于固定输入片内比较器的输出信号并且它作为一通用I/O引脚而不可访问。P3口缓冲器可吸收20mA电流。当P3口引脚写入“1”时,它们被内部上拉电阻拉高并可用作输入端。用作输入时,被外部拉低的P3口引脚将用上拉电阻而流出电流(IIL)。P3口还用于实现AT89C2051的各种功能,如下表1所示。P3口还接收一些用于闪速存储器编程和程序校验的控制信号。 (5)RST:复位输入。RST一旦变成高电平,所有的I/O引脚就复位到“1”。当振荡器正在运行时,持续给出RST引脚两个机器周期的高电平便可完成复位。每一个机器周期需12个振荡器或时钟周期。 (6)XTAL1:作为振荡器反相放大器的输入和内部时钟发生器的输入。 (7)XTAL2:作为振荡器反相放大器的输出。 6 表1 P3口的功能 P3口引脚 功 能 P3.0 RXD(串行输入端口) P3.1 TXD(串行输出端口) P3.2 INT0(外中断0) P3.3 INT1(外中断1) P3.4 TO(定时器0外部输入) P3.5 T1(定时器1外部输入) 2.2 传感器与信号处理电路的设计 2.2.1 光电式脉搏波传感器 其原理是利用光电信号来测量脉搏容量的变化。当血管内血容量变化时,组织对光的吸收程度相对发生变化,利用光电传感器可测出这种变化,该变化反映出血液动脉的基本参数情况(包括频率、幅度、脉搏波形状的改变)。根据郎伯特,比尔(lambert-beer)定律,物质在一定波长处的吸光度和他的浓度成正比,当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强在一定程度上反映了被照射部位组织的结构特征。 光电式脉搏波传感器可分为反射型光电式脉搏波传感器和透射型光电式脉搏波传感器。可利用发光二极管做光源,光敏晶体管做光检测器件组成光电式脉搏波传感器。将发光二极管和光敏晶体管分别放在组织的两边(透射法)或同一侧(反射法),当被测处血管中的血液流动改变时,此处组织的透光率和反射率随之变化,光敏晶体管就可将此时引起的光线变化转换为相应的电信号。 反射型光电式脉搏波传感器:光源和光敏元件处于被测部位的同一侧,光源所发处的光线经指尖组织的反射有一小部分可以透过指尖组织和血液照射到光敏元件所在的窗口中,再由光敏元件检测出其变化,因此血液的搏动情况可以被 [8]描记,通常称这种传感器为反射型光电式脉搏波传感器,其电路如图2.3所示。 图2.3反射型光电式脉搏波传感器 光源和光敏元件分别处于被测部位的两侧,光源发出的光线可以经指尖部组织透射到光敏元件所在的窗口,从而由光敏元件检测出脉搏的波动信号,这样记录的波也有将其作为指尖容积波处理,通常称这种传感器为透射型光电式脉搏波 7 传感器,其电路如图2.4所示。 图2.4 透射型光电式脉搏波传感器 本设计选用透射型光电式脉搏波传感器,其电路如图2.5所示。 图2.5透射型光电式脉搏波传感器电路图 因为传感器输出信号的频率很低,如当脉搏为50次/分钟时,只有0.78Hz,200次/分钟时也只有3.33Hz,因此信号首先经R14、C8组成的低通滤波器滤除高频干扰,当传感器与手指断开或检测到较强的干扰光线时,输出端的直流电压会出现很大变化,用C6、C7背靠背串联组成的双极性耦合电容把它隔断,滤除直流成分。 2.2.2 前置放大与滤波电路 1. LM324介绍 LM324是四运放集成电路,它采用14脚双列直插塑料封装,外形如图所示。它的内部包含四组形式完全相同的运算放大器,除电源共用外,四组运放相互独立。具有电源电压范围宽,静态功耗小,可单电源使用,价格低廉等优点,因此被广泛应用在各种电路中,引脚如图2.6所示。 8 图2.6 LM324引脚图 2. 前置放大与波形变换电路 运放IC1a将信号放大200倍,并与R11、C5组成截止频率为10Hz左右的低通滤波器以进一步滤除残留的干扰,其输出的信号是叠加有噪声的脉动脉搏 [9]波,此信号由滞回比较器(施密特触发器)IC1d转换成方波。该比较器的阈值可用P2调定在脉搏波的幅值范围之内,但对P2的调定要求并不严格,因为IC1d的输出信号经C4、C3的微分后总是将正、负相间的尖脉冲加到单稳多谐振荡器IC1c的反相输入端,不会造成很大的触发误差。 当有输入信号时,IC1c在滞回比较器IC1d输入信号的每个下降沿到来时输出高电平,使C3通过R6充电。大约持续20ms之后,因C3充电电流减小而使IC1c同相输入端的电位降低到低于反相输入端的电位(尖脉冲已过去很久),于是IC1c改变状态并再次输出低电平。这长的脉冲是与脉搏同步的,并由红色发光二极管D14的闪亮指示出来。同时,该脉冲电平通过R17送到单片机P3.3脚,进行对心率的计算和显示。 9v电源电压由R3、R4分压成4.5v,再经IC1b缓冲后用作IC1 a、IC1d、 IC1c的参考电压,这样即使电池电电压降低到6v本电路也能正常工作。其电路如图2.7所示。 图2.7 波形变换电路 9 2.3 显示电路 2.3.1 ULN2003的功能 ULN2003(国产型号为5G1413) 是七路达林顿驱动器阵列,是个集电极开路(OC)输出的反向器.最大驱动电流可以达到500mA,并且能够在关态时承受 50V的电压,输出还可以在高负载电流并行运行。采用DIP-16或SOP-16 塑料封装, 如图2.8所示。 图2.8 ULN2003引脚和内部方框图 2.3.3 显示电路接口设计 选用七段共阴数码管作为数据显示器,单片机AT89C2051的P1口接数码管的a、b、c、d、e、f、g和dp引脚。P3.0,P3.2接ULN2003的1B、2B和3B,ULN2003的1C、2C和3C分别接三个数码管的公共端子COM2 、COM1 和COM0。当P3.0,P3.2其中有一个变为高电平时,分别驱动7SEG2、7SEG1 和7SEG0,此时从P1口输出经过单片机处理后的数据数码管就可以显示心率的次数(每分钟送一次数据),其中P3.3是对脉冲计数的。8个220Ω的排阻接电源后接P1口,作限流用,维持数码管正常显示,当单片机复位时,使P1口电平全为高电平。其电路如图2.9所示,本部分运用89C2051单片机作核心元件,在这里运用了单片机能更快更准确地对数据进行运算,而且可根据实际情况进行编程,所用外围元件少,轻巧省电,故障率低。ULN2003为内置达林顿管集成电路,作动态扫描时的选通驱动用。 来自传感下降沿到达时,单片机对两次脉冲间的时间进行运算得出心率,通过P1口把结果送到数码管显示出来。同时,对每次脉冲的到来均响铃,与脉搏同步。这样,就可以通过声光的形式和整形输出电路的脉冲电平输入单片机89C2051的P3.3脚,单片机设为负跳变中断触发模式,故每次脉冲下降沿到达时触发单片机产生中断并进行计时;当下一次脉冲的形象地把脉搏的快慢显示出来。 为避免干扰的影响,单片机对两个脉冲之间的时间间距进行检测,若发现有 10 干扰则忽略该干扰而不显示。 图2.9 显示电路图 2.4 报警电路 报警电路是对每次脉冲的到来均响铃,与脉搏同步。这样,就可以通过声光的形式形象地把脉搏的快慢显示出来,其电路如图2.10所示。 图2.10 报警电路 2.5 时钟和复位电路设计 2.5.1 时钟电路设计 单片机内部时候方式如图2.11所示。在单片机内部有一振荡器电路,只要在单片机的XTAL1和XTAL2引脚外接石英晶体,就构成了自激振荡器并在单片机内部产生时钟脉冲信号。图中电容C9和C10的作用是稳定频率和快速起振,电容值在5,30pF。晶振X1的振荡频率范围为1.2,12MHz,典型值为12 MHz和6 MHz。 11 图2.12 时钟电路 2.5.2 复位电路的设计 按键与上电复位电路如图2.13所示。开机瞬间RESET引脚获得高电平,随着电容 C11的充电,RESET引脚的高电平将逐渐下降。RESET引脚的高电平只要保持足够的时间(2个机器周期),单片机就可以进行复位操作;另外,在单片机运行期间,还可以利用按键来完成复位操作。 图2.13 复位电路 12 3 软件设计 3.1 中端程序流程图 3.1.1 定时器中断程序流程图 开始 关中断 保护现场 设定时器初值 小于50次/分 YN 大于200次/分 NY INC R6 响铃 恢复现场 关中断 结束 图4.1 定时器中断程序流程图 MOV A,MORE_200 ;判断是否大于200,即是否小于50次 CJNE A,#00H,TOKEEP ;大于200,即小于50次 CLR C ;保持原来的显示 MOV A,TIMES ;判断是否小于50,即是否大于200次 SUBB A,#32H JC TOKEEP ;小于50,保持原来的显示 13 3.1.2 INT中断程序流程图 开始 保护现场 取时间 根据时间计算出 每分钟脉搏次数 十六进制转十进制 保存结果 恢复现场 结束 图4.2 INT中断程序流程图 subs2: MOV A,R2 SUBB A,R1 MOV R2,A JC chg2dec INC R7 AJMP subs2 CHG2DEC: MOV A,R7 ;由r7 的值转换成3位十进制,其中r1为百位, r2为十位,r3为个位 CLR C 14 3.2 显示程序流程图 开始 取结果 百位为1吗, NY 显示百位 延时 显示十位 延时 显示个位 延时 结束 图2.16 显示程序流程图 ORG 0600H DELAY: PUSH ACC MOV A, R5 PUSH ACC MOV R5,#0AH ;延时1ms D2_1: MOV R4,#32H D2_2: DJNZ R4,D2_2 DJNZ R5,D2_1 15 4 调试与仿真 4.1 仿真软件 (1)Proteus软件元件库中包含的元器件非常丰富,应用涵盖了软件部分的汇编、C语言的调试,可以辅助电子类如单片机、数字电路、电子电路等多种科目教学。本文研究并举例说明了该软件在电工电子实验教学中的应用,阐述了使用该软件进行实验教学具有系统资源丰富、硬件投入少、工程实践最为接近和学生综合能力得到提高等优点。实践证明,该软件广泛加以应用,可以提高实验质量,通过理论与仿真验证相结合,可以克服传统教学中的不足。 (2)打开Keil uVision3,新建Keil项目,选择AT89C2051单片机作为CPU, [10]新建汇编源程序文件,编写程序,并将其导入”Source Group1”中。“ptions for Target ”对话窗口中,选择“Output”选择卡中的“Create HEX File ”选项和“Debug”选项卡中的“Debug Use:Proteus VSW Simulator”选项。编译汇编源程序,改正程序中的错误。 (3)在Proteus ISIS中,选中AT89C2051并单击鼠标左键,打开“Edit [11]Component对话窗口,设置单片机晶振频率为12MHZ。在Proteus ISIS的菜单栏中选择“File”/“Save Design”保存设计。打开“Debug”/Use Remote Debug Monitor 以支持与Keil的联合调试。 4.2 调试仿真中注意的问题 (1)在用Proteus ISIS仿真时,记的要先生成.HEX文件。 (2)在Keil的菜单栏中选择“Debug”/Start/Stop Debug Session选项,进入程序调试环境。只有按“F5”键,顺序运行程序,观察程序运行结果。 16 5电路结构描述,心电信号的传感、放大及滤波 5.1 电路结构描述和仿真 整个监护仪是由前置放大电路,陷波电路和滤波电路构成。医学传感器获得体表的心电信号滤除其他频段干扰后经过放大调理和A,D转换之后传给计算机以供数据分析。其中便携性方面设计了电极脱落检测电路,摆脱电缆羁绊,使使用者能随身携带。硬件电路用Workbench软件进行仿真能实现其功能,采用的滤波函数用Matlab和Filterlab软件仿真之后能达到设计要求。滤波方法采用50 Hz陷波之后,再经过高低通两级滤波,引入放大器形成正反馈,以减小阻带宽度。 5.2心电输入电极 电极对动态心电图采集记录心电信号的质量至关重要,采用电极应贴附力强、透气性好、吸汗、电极导电性能好、极化电压低的优质电极,此外还应该具有对皮肤刺激小、佩带舒适、拆卸方便等优点。通常采用表面镀有AgCl的可拆卸的一次性软电极,并在电极上涂有优质导电膏。 5.3前置放大器 便携机前置放大电路是对心电功能进行自动检测的关键部分,要求该系统能在强的噪声背景下,通过体表传感器不失真地将心电信号检测出来,放大至合适的幅度,送入A,D变成数字信号,供计算机分析处理。 对心电信号等生物医学信号的采集采用模块化的方式,主要由前端医学传感器、信号滤波放大调理电路和A,D采样电路组成。其中调理电路根据不同生物医学信号的频谱和幅度范围的不同选择不同的滤波器和放大电路。通过前置放大部分对ECG信号进行放大,此部分包括右腿驱动以抑制共模干扰、屏蔽线驱动以消除引线干扰,增益设成10倍左右。设计前置放大采用美国模拟器件公司生产的医用放大器AD620。放大后的信号经滤波、50 Hz陷波处理后再进一步放大,后级增益设成100倍左右。由于心电信号幅度最大为几个mV,而A,D转换中输入信号的幅度要求在1 V以上,所以总增益设成1 000倍左右。 其中,滤波采用二阶高(低)通滤波电路,用于消除O(05,100 Hz频带以外的肌电等干扰信号,工频中的其余高次谐波也可被滤除掉。同时,采用有源双T带阻滤波电路进一步抑制50 Hz工频干扰。 5.4 心电信号的放大 心电信号属于高强噪声下的低频微弱信号,且电极与体表的接触电阻一般高达几兆欧,所以要求前置放大级应具有高输入阻抗、高共摸抑制比、低噪声、高 17 增益且可调、低功耗和抗干扰能力强的特点。经过比较,选用Analog Device公司的低价仪表放大器AD620。 心电信号的放大具体实现电路见图1。心电信号前置放大级的增益不易设定太高,以免在干扰较强时信号引起严重失真。为更好地消除共模电压,设计了自举屏蔽驱动电路如图1所示。采用缓冲放大器将连接点的共模电位驱动到屏蔽线,在输入共模信号时使屏蔽线与芯线等电位,在差模信号输入时没有影响。为了进一步提高电路的抗干扰能力,采用右腿驱动电路从根本上降低空间电场在人体上产生的干扰。此右腿驱动不是实际意义上的右腿驱动,因为由于此系统的侧重点在于便携操作,选用腹部右下侧设置电极。 5.5 电极脱落检测 由于此系统应用于人体日常生活中,人常常处于活动状态,这样输入电极很可能脱落,从而使系统不能正常工作。为此,设计了导联电极脱落检测电路如图2所示。 18 正常情况下,正负电极对人体皮肤形成的极化电压可以互相抵消。当一侧电极脱落时,将有较大的极化电压输入,通过一个比较器,当比较电压超出范围时,认为电极导联脱落,V0输出电平由正常时的高电平变为低电平,下级三极管导通,蜂鸣器发声指示。 5.6 心电信号的滤波 BT3受到各种噪声的干扰,噪声来源通常有下面几种:工频干扰、电极接触噪声、人为运动肌电干扰(EMG)、基线漂移等。其中50 Hz的工频干扰最为严重,也是最难消除的。其他的各种噪声通过高截低通、高通低截滤波方法可以很好地消除。 从心电电极得到的心电信号先要经过前置放大电路,被处理后的信号具有低噪声、低漂移、低共模抑制比等性能。这时候的心电信号主要受到工频、肌电等信号的干扰。心电信号需经过两次陷波和两次滤波以实现消噪的目的,两次陷波分别滤掉50 Hz的工频信号和100 Hz的倍频谐波信号,两个滤波器分别是0(05 Hz高通滤波器和100 Hz的低通滤波器。这样可得到较为光滑的波形。 2(6(1 陷波电路 陷波器的电路如图3所示,该电路是带双T网络的有源滤波器,其传递函数: 19 与以往双T型陷波器不同的是,该电路引入放大器A2形成正反馈,以减小阻带宽度,使得阻带中心频率附近两边的幅值增大。品质因数Q可以通过变阻器Rw来调节。R和C的值可由中心频率f0确定。 当f0=50 Hz时,C和R分别取O(068μF和47 kΩ;f0=100 Hz时,C和R分别取O(068μF和24 kΩ。 图4为式(1)传递函数的Filterlab 2(0的仿真结果。由此可以看出陷波电路设计符合要求。 20 5(6(2 带通滤波电路 带通滤波器电路如图5所示,采用的是带反馈的有源滤波器。该电路前半部分是0(05 Hz的高通滤波器,后半部分为100 Hz的低通滤波器。 高通滤波器的传递函数: 21 各电阻电容值的选取,除了能够滤波以外还具有放大作用。以上全部电路所用的放大器均是TI公司的OPA2137。 图6是Matlab的滤波仿真结果,从图中可以看出,信号在50 Hz处被很好地抑制了,滤波的效果非常理想,完全可以达到临床实用的要求。 滤波器对最终信号的质量尤为重要,由于滤波器的性能对元器件的误差相当灵敏,因此在这一级的设计中需要选用稳定而精密的阻容原件,可串联精密电位器以获得较好的效果。 3 结 语 电路中各滤波器的性能与滤波器的参数有直接关系,需经过正确计算。陷波器双T型网络中的电阻和电容需要精确匹配,以保证双T网络的对称,否则陷波深度会受影响。变阻器如何调节将会影响波形的好坏,可在实验中调试得出。 图7是实际电路测试的结果(纵坐标为μV),可以看到该电路较好地完成了对心电的降噪。当然,在降噪过程中还可以增加屏蔽技术,以进一步减少外部信 22 号的干扰。带通滤波器还可以设计成只带一个放大器的滤波器,使电路更为简单,但是精确率可能会降低。 要想获得清晰稳定的心电信号,心电放大器中前置放大器与滤波器的设计很关键,特别是50 Hz的带阻滤波器尤其重要。本文设计的以AD620型运放构成的心电放大器可实现输出电压高增益、低噪声、高灵敏度,保证心电信号清晰稳定,按上述设计制作出的监护仪体积小、耗电少、携带方便、工作正常。经实测输出心电波形基本无失真,P波、T波都能得到真实显示。特别是该电路抗50 Hz陷波性能好,信号中基本看不到寄生工频干扰。电路稳定性好,即使电极脱落,基线亦无明显漂移。满足家居监护以及病理分析的要求。 作为便携式监护仪器,硬件结构简单、体积便于携带是其自身固有的特点。本文针对这些特点,心电信号采集存储和数据处理从节省电能和成本方面考虑采用MSP430单片机。为使滤波函数得以更好地实现,可采用具有运算速度快和浮点运算优点的DSP芯片进行改进,使采集的信号失真更小,保真度更高,对ECG信号的采集准确率大大提高,但DSP昂贵的价格会使成本提高。 23 结论 从硬件设计到软件设计,再到电路的调试与仿真,这样的过程让我懂得一个电子工程师在设计中的基本工作流程。体会到单片机在智能仪器设计中的强大作用,能熟练使用Protel99SE、Keil uVision3和Proteus7.12软件。但在设计过程中明显感觉到用汇编语言编写程序还没有达到熟练程度,在以后的学习中还需要加强程序的编写,尤其是单片机C语言。硬件方面对电路理论、模拟电子技术和数字电子技术要求很高,因此在后期学习及以后的工作过程中还学要把这三门课加强。 24 参考文献 [1]郑文,李峰,刑武,李莹莹(心血管功能脉图检测系统的设计和实现(计算 ,40(22):199-201. 机工程与应用(2004 [2] Neal B,Chapman N,PateI A(Managing the global burden of cardiovascular disease(European Hearl Jounral。Supplements(2002,4:F2-F6. [3] 李泽君(基于心电与脉搏信息的心血管功能检测与监护系统的研究(北京工 业大学硕士论文(2004:l-5. [4] Aarner Chughtai and Ella A(Kazerooni(CT and M刚of Acute Thoracic Cardiovascular Emergencies(Critical Care Clinics,2007,23(4): 835-853( [5]TaiJenchao,Tseng Shungtsang,Lin Chingpo, et al. Real2time ima2 getracking for automatic trafficmonitoring and enforcement appli2 cations[J]. Image and Vision Computing, 2004, 22 (6): 485-501. [6] 吴本王介. 红外技术与生物医学[J]. 中国医疗器械信息2. 3. 1 信号识别 和心率换算 2001 ,7(2) :33-35. [7] 赵治栋,唐囱宏,赵知劲。基予Hilbert-Huang Transform的心音信号谱分 析瑟[J](传感技术学报,2005,18(1):18-22. [8] 谭善文,秦树人,汤宝平(Hilbert-Huang变换的滤波特性及其应用[J](重 庆大学学报,2004(27(2):9-12. [9] 程光 . 指动脉搏动波光电传感器的研制[J]. 南京医学院学报 2001 ,7 (4):329 - 330. [10] 徐爱钧,彭秀华(Keil Cx51 V7.1单片机高级语言程序与uVision2应用 实践[M](北京:电子工业出版社,2004,11-15. [11] 林志琦(基于Proteus的单片机可视化软硬件仿真[M](北京:北京航空航 天大学出版社,2006,55-56. 18 附录A 心率监测仪电气原理图 19 附录B 部分源程序 ORG 0000H AJMP START ORG 000BH AJMP TIME ORG 0013H AJMP INT_1 TIMES EQU 30H MORE_200 EQU 31H MORE200 EQU 32H BELL EQU 33H ORG 00e0H START: MOV SP,#50H MOV TMOD,#01H ;方式1,定时时间为6ms MOV TH0,#0E8H MOV TL0,#90H SETB EA ;开总中断 SETB IT1 ;INT1 负跳变触发 SETB EX1 ;INT1 开中断 SETB ET0 SETB IE1 MOV R1,#00H MOV R2,#00H MOV R3,#02H SETB TR0 ORG 0100H MOV R3,#06H AJMP MAIN ORG 0110H MAIN: ACALL SHOW AJMP MAIN ;************************************************************* ORG 0200H TIME: CLR ex1 PUSH ACC PUSH PSW MOV TH0,#0E8H MOV TL0,#90H CLR C MOV A,R6 ;大于200既是小于50次每分 ;清r6,并置标志位MORE200为1 SUBB A,#0C8H 20 JC T_TEMP1 MOV R6,#00H MOV MORE200,#01H AJMP T_TEMP2 T_TEMP1: INC R6 T_TEMP2: CLR C MOV A,BELL SUBB A,#01H ;响铃18ms,与脉搏脉冲同步 JC STOPBELL SETB P3.7 AJMP SSSS STOPBELL: CLR P3.7 SSSS: SETB ex1 POP PSW POP ACC RETI NOP NOP LJMP 0100H ;************************************************************* ORG 0300H INT_1: PUSH PSW ; PUSH ACC MOV TIMES,R6 ;保存r6的时间 MOV R6,#00H ;令r6为0,重新计时 MOV MORE_200,MORE200 ;保存MORE200标志位 MOV MORE200,#00H ;令MORE200为0 MOV BELL,#03H ;设响铃 MOV A,MORE_200 ;判断是否大于200,即是否小于50次 CJNE A,#00H,TOKEEP ;大于200,即小于50次, ;保持原来的显示 CLR C MOV A,TIMES ;判断是否小于50,即是否大于200次 SUBB A,#32H JC TOKEEP ;小于50,保持原来的显示 AJMP CALCULATE TOKEEP: POP ACC POP PSW AJMP C_TORETI CALCULATE:push psw PSW ACC MOV R1,times MOV R7,#0H MOV R3,#27H 21 MOV R2,#10H CLR C subs1: MOV A,R2 SUBB A,R1 MOV R2,A MOV A,R3 SUBB A,#0H INC R7 MOV R3,A CLR C CJNE R3,#0H,subs1 subs2: MOV A,R2 SUBB A,R1 MOV R2,A JC chg2dec INC R7 AJMP subs2 CHG2DEC: MOV A,R7 ;由r7 的值转换成3位十进制,其中r1为百位,r2为 十位,r3为个位 CLR C SUBB A,#0C7H ;大于200次每分钟,令为199次每分钟 JC CHANGE MOV R7,#0C7H CHANGE: MOV A,R7 MOV R0,A MOV A,R0 CLR C ;减100 SUBB A,#64H JC NO_MINUS ; MOV R0,A ;大于等于100次每分钟,令百位为1 MOV R1,#01H AJMP divv NO_MINUS: MOV R1,#00H divv: MOV A,R0 MOV B,#0AH DIV AB MOV R2,A MOV R3,B POP ACC POP PSW AJMP C_TORETI C_TORETI: RETI NOP NOP 22 LJMP 0100H ;************************************************************************ ;SHOW的子程序 ;r1为百位数字,r2为十位,r3为个位 ;p3.0接百位,p3.1接十位,p3.2接个位 ORG 0500H SHOW: MOV A,R1 CJNE A,#00H,S_TEMP1 ACALL DELAY AJMP S_TEMP2 S_TEMP1: MOV A,R1 ;显示百位 MOV DPTR,#TABLE MOVC A,@A+DPTR MOV P1,A SETB P3.0 ACALL DELAY CLR P3.0 S_TEMP2: MOV A,R1 CJNE A,#00H,S_TEMP2_2 ;百位为0,十位又为0时, ;十位的0消隐 MOV A,R2 CJNE A,#00H,S_TEMP2_2 ; ACALL DELAY AJMP S_TEMP3 S_TEMP2_2: MOV A,R2 ;显示十位 MOV DPTR,#TABLE MOVC A,@A+DPTR MOV P1,A SETB P3.1 ACALL DELAY CLR P3.1 S_TEMP3: MOV A,R3 ;显示个位 MOV DPTR,#TABLE MOVC A,@A+DPTR MOV P1,A SETB P3.2 ACALL DELAY CLR P3.2 RET NOP NOP LJMP 0100H ;***************************************************************************** ORG 0600H 23 DELAY: PUSH ACC MOV A,R5 PUSH ACC MOV R5,#0AH ;延时1ms D2_1: MOV R4,#32H D2_2: DJNZ R4,D2_2 DJNZ R5,D2_1 POP ACC MOV R5,A POP ACC RET NOP NOP LJMP 0100H ;****************************************************************************** ORG 0700H TABLE: DB 03FH ;LED 显示码0,共阴 DB 006H ; 1 DB 05BH ; 2 DB 04FH ; 3 DB 066H ; 4 DB 06DH ; 5 DB 07DH ; 6 DB 007H ; 7 DB 07FH ; 8 DB 06FH ; 9 NOP NOP LJMP 0100H END 24 25
/
本文档为【便携式心电监测仪的设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索