为了正常的体验网站,请在浏览器设置里面开启Javascript功能!
首页 > 对VHDL语言结构体描述中的行为描述和数据流描述的分析比较

对VHDL语言结构体描述中的行为描述和数据流描述的分析比较

2017-11-27 7页 doc 20KB 342阅读

用户头像

is_482581

暂无简介

举报
对VHDL语言结构体描述中的行为描述和数据流描述的分析比较对VHDL语言结构体描述中的行为描述和数据流描述的分析比较 对VHDL语言结构体描述中的行为描述和 数据流描述的分析比较 第10卷第3期 20o8年5月 滁州学院学报 JOURNALOFCHUZHOUUNIVERSITY V01.10No.3 May.2008 对VHDL语言结构体描述中的行为描述和数 据流描述的分析比较 丁健 (滁州学院电子信息工程系.安徽滁州239000) 摘要:介绍了在VHDL(~高速集成电路硬件描述语言)中结构体描述采用的行为描述方法和数据流描述方 法.并用这两种方法从不同的角...
对VHDL语言结构体描述中的行为描述和数据流描述的分析比较
对VHDL语言结构体描述中的行为描述和数据流描述的分析比较 对VHDL语言结构体描述中的行为描述和 数据流描述的分析比较 第10卷第3期 20o8年5月 滁州学院学报 JOURNALOFCHUZHOUUNIVERSITY V01.10No.3 May.2008 对VHDL语言结构体描述中的行为描述和数 据流描述的分析比较 丁健 (滁州学院电子信息工程系.安徽滁州239000) 摘要:介绍了在VHDL(~高速集成电路硬件描述语言)中结构体描述采用的行为描述方法和数据流描述方 法.并用这两种方法从不同的角度对硬件系统进行行为和功能的描述.并做出了比较. 关键词:VHDL;结构体;行为描述;数据流描述 中图分类号:TP33文献标识码:A文章编号:1673-1794(2008)03-0048-03 作者简介:丁健(1981一),男,安徽定远人.助理实验师,滁州学院电子信息工程系. 1弓I言 结构体(architecture)具体指明了该设计实体的行为,定义了该设计实体的功能,规定了该设计实体的数 据,指派了实体中内部元件的连接关系.用VHDL语言描述结构体功能有3种方法: 1)行为描述法:采用进程语句,顺序描述设计实体的行为. 2)数据流描述法:采用进程语句顺序描述数据流在控制流作用下被;OnI,处理,存储的全过程. 3)结构描述法:采用并行处理语句描述设计实体内的结构组织和元件互连关系. 这3种描述方式从不同的角度对硬件系统进行行为和功能的描述.目前采用后两种描述的方式的VHDL语 言程序可以进行逻辑综合,而采用行为描述的VHDL语言程序,大部分只用于系统仿真,少数的也可以进行 逻辑综合.本文针对行为描述方式和数据流描述方式做一介绍并进行了针对性的分析比较. 2结构体的行为描述 所谓结构体的行为描述(BehavioralDescriptions),即对设计实体按算法的路径来描述.行为描述在EDA 工程中称为高层次描述或高级描述,其抽象程度远远高于数据流描述方式和结构描述方式.行为描述类似于 高级编程语言,当要描述一个设计实体的行为时,无须知道具体的电路结构,只需要用一种状态来描述即可. 我们举一个2输入与非门的例子.2输入与非门的电路原理图如图l所示 UBRARYIEEE; USEIEEE.STD_ LOGIC— l164.ALL; ENTITYnand2IS PORTa,b:INSTD_ LOGIC; Y:OUTSTD_LOGIC); ENDnand2; 收稿日期:2008—05—17 —— 48—— ARCHnECTUREbehavioralOFnand2IS BEGIN y<=aNANDb; ENDbehavioral; 图1 3结构体的数据流描述 所谓结构体的数据流描J~_(DataflowDescriptions).又叫寄存器传输描述RTL,是一种可以进 行逻辑综合 的描述方式.它描述了数据流的运动路线,运动方向和运动结果,由于要进行逻辑综合,所以 对使用的语句有 一 定的限制.由于信号传输过程不是顺序进行的.而是一种并行行为.所以数据流描述方式是建 立在并发信号 流的基础上.这点特别重要.它与我们传统的程序设计是不同的. 下面我们还以刚才2输入与非门这个例子来说明数据流描述方式的特点,其程序如下: LIBRARYIEEE; USEIEEE.STD_ LOGIC一 1164.ALL; ENTIIYnand2IS PORT(a,b:INSTD_LOGIC; Y:OUTSTD_LOGIC); ENDnand2;. ARCH兀ECTUREdataflOWOFnand2IS BEGIN T1: PROCESS(a,b) VARIABLEcomb:ID10GIC—VECI10R(1DOWNTOo); BEGIN comb:=a&b: CASEcombIS WHEN00=>y<=1; WHEN01=>y<=1; WHEN10=->y<=1; WHEN11=>y<=0; WHENOTHERS=>y<---x; ENDCASE; ENDPORCESST1: ENDdataflow; 由上例可分析出3个步骤: 1)在符号说明entity中,用port定义了设计实体的输入端子和输出端子. 2)在结构描述architecture中,首先用VARIABLE定义了一个中间变量comb,这样做的目的是使程序更 加的清晰. 31写出它的逻辑表达式. 4结论一 —. 49.. 对于行为描述来说,是将2输入与非门看成一个黑框,编程者无需了解2输入与非门电路内部的细节, 只要知道外部特性和功能就可以进行正确的描述.行为描述它表示输入输出的转换行为,无须包含任何结构 信息,对设计实体按照算法路径来描述.它的优点在于设计人员只需注意正确的实体行为,准确的函数模型 和精确的输出结果就可以了,无须关注实体的电路组织和门级实现. 而对数据流描述而言,编程者就必须了解2输入与非门电路组织是怎么样构成的,只有了解了这些细 节,才能用VHDL语言来进行正确的描述.但是因为数据流形式与通用的逻辑关系表达式是基本一致的.因 此,它具有直观和可读性好的特点. 行为描述是基于顺序语句的,而数据流描述是基于并行赋值语句的.对于不同的电路要求.2种描述方法 的编程效率和编程难度都是不一样的,应根据自己的需要,设计风格和语言习惯来结合使用. [参考文献】 『l1王道宪.VHDL电路设计技术『M1.北京:国防工业出版社,2004. [2]曾繁泰,等.VHDL程序设计[M].北京;清华大学出版社,2000. [3]路而红.电子设计自动化应用技术[M].北京:高等教育出版社,2004. 『4】江国强.EDA技术与应用[M】.北京:电子工业出版社,2004. [5]陈雪松,等.VHDL入门与应用[M].北京:人民邮电出版社,2000. [审稿:倪受春:责编:万东升] (上接第47页)当n>8时,(8),(9),(10)均为负数.从而(6)式小于零.也即(s)关于s严格单调递减,n(s)关于 s严格单调递增. 3Perron向量最小分量的界的猜想 猜想1将定理6中的G推广为一般简单连通图,定理仍成立. 猜想2Perron向量的最小分量在定理6中由在s=l时达到. 『参考文献】 [1]BrittaPapendieck,PeterRecht.Onmaximalentriesintheprincipaleigenvectorofgraphs~.LinearAlgebraandits Applications,2000,(31o). [2]ShuqinZhao,YuanHong.Ontheboundsofmaximalentriesintheprincipaleigenvectorofsyrmnetricnonnegative matrix[J].LinearAlgebraanditsApplications,2002,(340). [3]Dragan.Thelargesteigenvalueofnonregulargraph[J].JoumalofCombinatorialTheory,2004,(SeriesB91). [4]Xiao-DongZhang.Eigenvectorandeigenvaluesofnon-regulargraphs[J].LinearAlgebraanditsApplications,2005,(409). [51s.M.,D.A.Gregory,VladimirNikiforov,Extremeeigenveluesofnonregulargraphs【I】.JournalofCombinatorialTheory, 2007,SeriesB97). [6]BaofesApplications,ngWu,EnliXiao,YuanHong.rI’hespectralradiusoftreeonkpendantvertices[J].LinearAlgebra andIt2005,(395). [7]Q.Li,K.Feng,Onthelargesteigenvaluesofgra~s,ActaMath.App1.Sinica2,1979(inChinese). 一 50一 [审稿:马阳明;责编.ii玉明】
/
本文档为【对VHDL语言结构体描述中的行为描述和数据流描述的分析比较】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索