为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

半导体行业专业英语名词解释

2017-09-28 50页 doc 153KB 233阅读

用户头像

is_212655

暂无简介

举报
半导体行业专业英语名词解释半导体行业专业英语名词解释 页次 英文名称 中文名称 1 Active Area 主动区(工作区) 2 ACETONE 丙酮 3 ADI 显影后检查 4 AEI 蚀刻后检查 5 AIR SHOWER 空气洗尘室 6 ALIGNMENT 对准 7 ALLOY/SINTER 熔合 8 AL/SI 铝/硅 靶 9 AL/SI/CU 铝/硅/铜 10 ALUMINUN 铝 11 ANGLE LAPPING 角度研磨 12 ANGSTRON 埃 13 APCVD(ATMOSPRESS‎‎URE) 常压化学气相沉积 14 AS75 ...
半导体行业专业英语名词解释
半导体行业专业英语名词解释 页次 英文名称 中文名称 1 Active Area 主动区(工作区) 2 ACETONE 丙酮 3 ADI 显影后检查 4 AEI 蚀刻后检查 5 AIR SHOWER 空气洗尘室 6 ALIGNMENT 对准 7 ALLOY/SINTER 熔合 8 AL/SI 铝/硅 靶 9 AL/SI/CU 铝/硅/铜 10 ALUMINUN 铝 11 ANGLE LAPPING 角度研磨 12 ANGSTRON 埃 13 APCVD(ATMOSPRESS‎‎URE) 常压化学气相沉积 14 AS75 砷 15 ASHING,STRIPPING 电浆光阻去除 16 ASSEMBLY 晶粒封装 17 BACK GRINDING 晶背研磨 18 BAKE, SOFT BAKE, HARD BAKE 烘烤,软烤,预烤 19 BF2 二氟化硼 20 BOAT 晶舟 21 B.O.E 缓冲蚀刻液 22 BONDING PAD 焊垫 23 BORON 硼 24 BPSG 含硼及磷的硅化物 25 BREAKDOWN VOLTAGE 崩溃电压 26 BURN IN 预烧试验 27 CAD 计算机辅助 28 CD MEASUREMENT 微距测试 29 CH3COOH 醋酸 30 CHAMBER 真空室,反应室 31 CHANNEL 信道 32 CHIP ,DIE 晶粒 33 CLT(CARRIER LIFE TIME) 截子生命周期 34 CMOS 互补式金氧半导体 35 COATING 光阻覆盖 36 CROSS SECTION 横截面 37 C-V PLOT 电容,电压圆 38 CWQC 全公司品质管制 39 CYCLE TIME 生产周期时间 40 CYCLE TIME 生产周期时间 41 DEFECT DENSI‎‎TY 缺点密度 42 DEHYDRATION BAKE 去水烘烤 43 DENSIFY 密化 44 DESCUM 电浆预处理 45 DESIGN RULE 设计 46 EDSIGN RULE 设计准则 47 DIE BY DIE ALIGNMENT 每FIELD均对准 48 DIFFUSION 扩散 49 DI WATER 去离子水 50 DOPING 参入杂质 51 DRAM , SRAM 动态,静态随机存取内存 52 DRIVE IN 驱入 53 E-BEAM LITHOGRAPH‎‎Y 电子束微影技术 54 EFR(EARLY FAILURE RATE) 早期故障率 55 ELECTROMIGRATIO‎‎N 电子迁移 56 ELECTRON/HOLE 电子/ 电洞 57 ELLIPSOMET‎‎ER 椭圆测厚仪 58 EM(ELECTRO MIGRA‎‎TION TEST) 电子迁移可靠度测试 59 END POINT DETECTOR 终点侦测器 60 ENERGY 能量 61 EPI WAFER 磊晶芯片 62 EPROM 电子可程序只读存储器 (ERASABLE-PROGRAMMABLE ROM) 63 ESD 静电破坏 ELECTROSTATIC DAMAGE 静电放电 ELECTROSTATIC DISCH‎‎ARGE 64 ETCH 蚀刻 65 EXPOSURE 曝光 66 FABRICATION(FAB) 制造 67 FBFC(FULL BIT FUNCTION CHIP) 全功能芯片 68 FIELD/MOAT 场区 69 FILTRATION‎‎ 过滤 70 FIT(FAILURE IN TIME) 71 FOUNDRY 客户委托加工 72 FOUR POINT PROBE 四点侦测 73 F/S(FINESONIC CLEAN‎‎) 超音波清洗 74 FTIR 傅氏转换红外线光谱分‎‎析仪 75 FTY(FINAL TEST YIELD) 76 FUKE DEFECT 77 GATE OXIDE 闸极氧化层 78 GATE VALVE 闸阀 79 GEC(GOOD ELECTRICAL‎‎ CHIP) 优良电器特性芯片 80 GETTERING 吸附 81 G-LINE G-光线 82 GLOBAL ALIGNMENT 整片性对准与计算 83 GOI(GATE OXIDE INTEGRITY) 闸极氧化层完整性 84 GRAIN SIZE 颗粒大小 85 GRR STUDY (GAUGE 测量仪器重复性与再现‎‎性之研究 REPEATABILITY AND REPRODUUCIBILIT‎‎Y) 86 H2SO4 硫酸 87 H3PO4 磷酸 88 HCL 氯化氢(盐酸) 89 HEPA 高效率过滤器 90 HILLOCK 凸起物 91 HMDS HMDS蒸镀 92 HNO3 硝酸 93 HOT ELECTRON EFFEC‎‎T 热电子效应 94 I-LINE STEPPER I-LINE步进对准曝光‎‎机 95 IMPURITY 杂质 96 INTEGRATED CIRCUIT(IC) 集成电路 97 ION IMPLANTER 离子植入机 98 ION IMPLANTATI‎‎ON 离子植入 99 ISOTROPIC ETCHI‎‎NG 等向性蚀刻 100 ITY(INTEGRATED TEST YIELD) 101 LATCH UP 栓锁效应 102 LAYOUT 布局 103 LOAD LOCK 传送室 104 LOT NUMBER 批号 105 LPCVD(LOW PRESSURE) 低压化学气相沉积 106 LP SINTER 低压烧结 107 LPY(LASER PROBE YIELD) 雷射修补前测试良率 108 MASK 光罩 109 MICRO,MICROMETER,MICRON 微,微米 110 MISALIGN 对准不良 111 MOS 金氧半导体 112 MPY(MULTI PROBE YIELD) 多功能侦测良率 113 MTBF(MEAN TIME BETWEEN FAILURE) 114 N2,NITROGEN 氮气 115 N,P TYPE SEMICONDUCTOR N,P型半导体 116 NSG(NONDOPED SILICATE GLASS‎‎) 无参入杂质硅酸盐玻璃 117 NUMERICAL APERTURE(N.A.) 数值孔径 118 OEB(OXIDE ETCH BACK ) 氧化层平坦化蚀刻 119 OHMIC CONTACT 欧姆接触 120 ONO(OXIDE NITRIDE OXIDE‎‎) 氧化层-氮化层-氧化层 121 OPL(OP LIFE)(OPERATION LIFE 使用期限(寿命) TEST) 122 OXYGEN 氧气 123 P31 磷 124 PARTICLE CONTAMINAT‎‎ION 尘粒污染 125 PARTICLE COUNT‎‎ER 尘粒计数器 126 PASSIVATION OXIDE(P/O) 护层 127 P/D(PARTICLE DEFEC‎‎T) 尘粒缺陷 128 PECVD 电浆CVD 129 PELLICLE 光罩护膜 130 PELLICLE 光罩保护膜 131 PH3 氢化磷 132 PHOTORESIS‎‎T 光阻 133 PILOT WAFER 试作芯片 134 PINHOLE 针孔 135 PIRANHA CLEAN 过氧硫酸清洗 136 PIX 聚醯胺膜 137 PLASMA ETCHING 电将蚀刻 138 PM(PREVENTIVE‎‎ MAINTENANC‎‎E) 定期保养 139 POCL3 三氯氧化磷 140 POLY SILICON 复晶硅 141 POX 聚醯胺膜含光罩功能 142 PREHEAT 预热 143 PRESSURE 压力 144 REACTIVE ION ETCHING(R.I.E.) 活性离子蚀刻 145 RECIPE 程序 146 REFLOW 回流 147 REGISTRATION ERROR‎‎ 注记差 148 RELIABILIT‎‎Y 可靠性 149 REPEAT DEFEC‎‎T 重复性缺点 150 RESISTIVIT‎‎Y 阻值 151 RESOLUTION 解析力 152 RETICLE 光罩 153 REWORK/SCRAP/WAIVE 修改 /报废/签过 154 RUN IN/OUT 挤进/挤出 155 SCRUBBER 刷洗机 156 SAD 缺陷分析软件 (SOFTWARE DEFECT ANALY‎‎SIS) 157 SEM 电子显微镜 (SCANNING ELECTRON MICROSCOPE‎‎) 158 SELECTIVIT‎‎Y 选择性 159 SILICIDE 硅化物 160 SILICIDE 金属硅化物 161 SILICON 硅 162 SILICON NITRIDE 氯化硅 163 SMS 半导体制造系统 (SEMICODUCTOR MANUFACTURING SYSTEMS) 164 SOFT WARE, HARD WARE 软件 ,硬件 165 S.O.G.(SPIN ON GLASS) 旋制氧化硅 166 S.O.J. 缩小型J形脚包装IC (SMALL OUTLINE J-LEAD PACKAGE) 167 SOLVENT 溶剂 168 SPECIFICAT‎‎ION(SPEC) 规范 169 SPICE PARAMETER SPIC参数 170 S.R.A 展布电阻分析 (SPREADING RESISTENCE ANALYSIS) 171 SPUTTERING‎‎ 溅镀 172 SSER 系统暂时性失效比率测‎‎试 (SYSTEM SOFT ERROR‎‎ RATE TEST) 173 STEP COVERAGE 阶梯覆盖 174 STEPPER 步进式对准机 175 SURFACE STSTE‎‎S 表面状态 176 SWR(SPECIAL WORK REQUE‎‎ST) 177 TARGET 靶 178 TDDB 介电质层崩贵的时间依‎‎存性 (TIME DEPENDENT DIELECTRIC BREAKDOWN) 179 TECN(TEMPORARY ENGINEERING 临时性制程变更通知 CHANGE NOTIC‎‎E) 180 TEOS 四乙基氧化硅 (TETRAETHYLOR THOSILICAT‎‎E) 181 THRESHOLD VILTA‎‎GE 临界电压 182 THROUGH PUT 产量 183 TMP TI 记忆产品样品(原型),TI内存 (TI MEMORY PROTO‎‎TYPE,TMS-X 产品 TI MEMORY STANDARD PRODUCT) 184 TOX 氧化层厚度 185 TROUBLE SHOOT‎‎ING 故障排除 186 UNDERCUT 底切度 187 UNIFORMITY 均匀度 188 VACUUM 真空 189 VACUUM PUMP 真空帮浦 190 VERNIER 游标尺 191 VIA CONTACT 连接窗 192 VISCOSITY 黏度 193 VLF 垂直流层 (VERTICAL LAMIN‎‎AR FLOW) 194 WELL/TANK 井区 195 WLRC 晶圆层次(厂内)可靠度控制 (WAFER LEVEL RELIABILITY CONTROL) 196 WLQC(WAFER LEVEL QUALITY 晶圆层次(厂内)品质控制 CONTROL ) 197 X-RAY LITHOGRAPHY X光微影技术 198 YELLOW ROOM 黄光室 页次 英文名称 中文名称 解析 1 Active Area 主动区(工作区) 主动晶体管(ACTIVE TRANSISTOR)被制造的区域即所谓的‎‎主动区(ACTIVE AREA)。在标准之MOS制造过程中ACTIVE AREA是由一层氮化 硅光罩即等接氮化硅蚀刻之后的局部场区氧化所形成的,而由于利用到局部场氧化之步骤,所以ACTIVE AREA会受到鸟嘴(BIRD'S BEAK)之影响而比原先之氮化硅光罩所定义的区域来‎‎的小,以长0.6UM之场区氧化而言‎‎,大概会有0.5UM之BIRD'S BEAK存在,也就是说 ACTIVE AREA比原在之氮化硅光罩所 定义的区域小‎‎0.5UM。 2 ACTONE 丙酮 1. 丙酮是有机溶剂的一种‎‎,分子式为 CH3COCH3。 2. 性质为无色,具刺激性及薄荷臭味 之液体。 3. 在FAB内之用途,主要在于黄光 室内正光阻之清洗、擦拭。 4. 对神经中枢具中度麻醉性,对皮肤 粘膜具轻微毒性,长期接触会引起 皮肤炎,吸入过量之丙酮蒸汽会‎‎刺 激鼻、眼结膜及咽喉粘膜,甚至引 起头痛、恶心、呕吐、目眩、意识 不明等。 5. 允许浓度1000PPM。 3 ADI 显影后检查 1.定义: After Developing Inspection 之缩写 2.目的: 检查黄光室制程;光阻覆盖?对准 ?曝光?显影。发现缺点后,如覆 盖不良、显影不良…等即予修改, 以维护产品良率、品质。 3.方法: 利用目检、显微镜为之。 4 AEI 蚀刻后检查 1. 定义: AEI即After Etching Inspe‎‎ction,在 蚀刻制程光阻去除前及光阻去除‎‎ 后,分别对产品实施全检或‎‎抽样检 查。 2.目的: 2-1提高产品良率,避免不良品外 流。 2-2达到品质的一致性和制程之重复‎‎性。 2-3显示制程能力之指针 2-4阻止异常扩大,节省成本 3.通常AEI检查出来之不良品,非必要时很少作修改,因为重去氧化层或重 长氧化层可能造成组件特性改变可靠‎‎性变差、缺点密度增加,生产成本增高,以及良率降低之缺点。 5 AIR SHOWER 空气洗尘室 进入洁净室之前,需穿无尘衣,因在外面更衣室之故,无尘衣上沾着尘埃,故进洁净室之前,需经空气喷洗机将尘埃吹掉。 6 ALIGNMENT 对准 1. 定义: 利用芯片上的对准键,一般用十字 键和光罩上的对准键合‎‎对为之。 2. 目的: 在IC的制造过程中,必须经过6~10 次左右的对准、曝光来定义电路图 案,对准就是要将层层图案精确地 定义显像在芯片‎‎上面。 3. 方法: A.人眼对准 B.用光、电组合代替人眼,即机械 式对准。 7 ALLOY/SINTER 熔合 Alloy之目的在使‎‎铝与硅基(Silicon Substrate)之接触有Ohmic特性,即电压与电流成线性关‎‎系。 Alloy也可降低接‎‎触的阻值。 8 AL/SI 铝/硅 靶 此为金属溅镀时所使用的一种金属合金材料利用Ar游离的离子,让其撞击此靶的表面,把Al/Si的原子撞击出来,而镀在芯片表面上,一般使用之组成为Al/Si (1%),将此当作组件与外界导线连接。 9 AL/SI/CU 铝/硅 /铜 金属溅镀时所使用的原料名称,通常是称为TARGE‎‎T,其成分为0.5,铜,1,硅及98.5,铝,一般制程通常是使用99,铝1,硅,后来为了金属电荷迁移现象(ELEC TROMIGRATION) 故渗加0.5,铜,以降低金属电荷迁 移。 10 ALUMINUN 铝 此为金属溅镀时所使用的一种金属‎‎材 料,利用Ar游离的离子,让其撞击此 种材料做成的靶表面,把Al的原子撞 击出来,而镀在芯片表面上,将此当 作组件与外界导‎‎线之连接。 11 ANGLE LAPPING 角度研磨 Angle Lapping 的目的是为了测量Ju nction的深度,所作的芯片前处理, 这种采用光线干涉测量的方法就称‎‎之 Angle Lapping。公式为Xj=λ/2NF即 Junction深度等于入射光波长的一半 与干涉条纹数之乘积。但渐渐的随着 VLSI组件的缩小,准确度及精密度都 无法因应。如SRP(Spreading Resistance Prqbing)也是应用Angle Lapping的方 法作前处理,采用的方法是以表面植‎‎ 入浓度与阻值的对应关‎‎系求出Ju nction的深度,精确度远超过入射光 干涉法。 12 ANGSTROM 埃 是一个长度单位,其大小为1公尺的百 亿分之一,约为人的头发宽度之五十 万分之一。此单位常用于IC制程上, 表示其层(如SiO2,Poly,SiN….)厚 度时用。 13 APCVD常压化学气相沉APCVD为Atmosphere(大气),(ATMOSPRESS‎‎U积 Pressure(压力),Chemical(化学), Vapor(气相)及Deposition(沉积)的缩RE) 写,也就是说,反应气体(如SiH4(g), B2H6(g),和O2(g))在常压下起化学 反应而生成一层固态的生成物‎‎(如 BPSG)于芯片上。 14 AS75 砷 自然界元素之一;由33个质子,42个 中子即75个电子所组成。半导体工业 用的砷离子(As,)可由AsH3气体分解得 到。砷是N-TYPE DOPANT 常用作N- 场区、空乏区及S/D植入。 15 ASHING,电浆光阻去除 1. 电浆预处理,系利用电浆方式STRIPPING (Plasma),将芯片表面之光阻加以‎‎ 去除。 2. 电浆光阻去除的原理,系利用氧 气在电浆中所产生只自由‎‎基 (Radical)与光阻(高分子的有机 物)发生作用,产生挥发性的气体,再 由帮浦抽走,达到光阻去除的目的。 3. 电浆光组的产生速率通常较酸液 光阻去除为慢,但是若产品经过离子 植入或电浆蚀刻后,表面之光阻或发生碳化或石墨化等化学作用,整个表面之光阻均已变质,若以硫酸吃光阻,无法将表面已变质之光阻加以去 除,故均必须先以电浆光阻去除之方 式来做。 16 ASSEMBLY 晶粒封装 以树酯或陶瓷材料,将晶粒包在其中,以达到保护晶粒,隔绝环境污染的目的,而此一连串的加工过程,即称为晶粒封装(Assembly)。 封装的材料不同,其封装的作法亦不同,本公司几乎都是以树酯材料作晶 粒的封装,制程包括: 芯片切割?晶粒目检?晶粒上「架」(导线架,即Lead frame)?焊线?模压封装?稳定烘烤(使树酯物性稳定)?切框、弯脚成型?脚沾锡?盖印?完成。 以树酯为材料之IC,通常用于消费性产品,如计算机、计算器,而以陶瓷作封装材料之‎‎IC,属于高性赖度之组件,通常用于飞弹、火箭等较精密的产品上。 17 BACK GRINDING 晶背研磨 利用研磨机将芯片背面磨薄以便测试包装,着重的是厚度均匀度及‎‎背面之干净度。 一般6吋芯片之厚度约20mil,30 mil左右,为了便于晶粒封装打线,故需将芯片厚度磨薄至10 mil ,15mil左右。 18 BAKE, SOFT 烘烤,软烤,预烘烤(Bake): BAKE, 烤 在集成电路芯片上的制造过程中,将 HARD BAKE 芯片至于稍高温(60?,250?)的烘箱内或热板上均可谓之烘烤,随其目的的不同,可区分微软烤(Soft bake)与预烤(Hard bake)。 软烤(Soft bake): 其使用时机是在上完光‎‎阻后,主要目的是为了将光阻中的溶剂蒸发去除,并且可增加光阻与芯片‎‎之附着力。 预烤(Hard bake): 又称为蚀刻前烘烤(pre-etch bake), 主要目的为去除水气,增加光阻附着性,尤其在湿蚀刻(wet etching)更为重要,预烤不全长会造成过蚀‎‎刻。 19 BF2 二氟化硼 ?一种供做离子植入用之‎‎离子。 ?BF2 ,是由BF3 ,气体晶灯丝加热分解成: B10、B11、F19、B10F2、B11F2 。经Extract拉出及质谱磁场分析后而得‎‎到。 ?是一种P-type 离子,通常用作VT植入(闸层)及S/D植入。 20 BOAT 晶舟 Boat原意是单木舟‎‎,在半导体IC制造过程中,常需要用一种工具作芯片传送、清洗及加工,这种承载芯片的工具,我们称之为Boat。 一般Boat有两种材质,一是石英、另一是铁氟龙。石英Boat用在温度较高(大于300?)的场合。而铁氟龙Boat则用在传送或酸处理的场合‎‎。 21 B.O.E 缓冲蚀刻液 BOE是HF与NH4F依不同比例混合而成。6:1 BOE蚀刻即表示HF:NH4F=1:6的成分混合而成。HF为主要的蚀刻液,NH4F则作为缓冲剂‎‎使用。利用NH4F固定〔H,〕的浓度,使之保持一定的蚀刻率‎‎。 HF会浸蚀玻璃及任何含硅石的物质,对皮肤有强烈的腐蚀性,不小心被溅到,应用大量水冲洗。 22 BONDING PAD 焊垫 焊垫,晶利用以连接金线或铝线的金属层。在晶粒封装(Assembly)的制程中,有一个步骤是作“焊线”,即是用金线(塑料包装体)或铝线(陶瓷包装体)将晶粒的线路与包装体之各个接脚依焊线图(Bonding Diagram)连接在一起,如此一来,晶粒的功能才能有效地应用。 由于晶粒上的金属线路的宽度即间隙都非常窄小,(目前SIMC所致的产品约是微米左右的线宽或间隙),而用来连接用的金线或铝线其线径‎‎目前由于受到材料的延展性即对金属接线强 度要求的限制‎‎,祇能做到1.0,1.3mil (25.4,33j微米)左右,在此情况下,要把二、三十微米的金属线直接连 接到金属线路间距只‎‎有3微米的晶粒上,一定会造成多条铝线的接桥,故晶粒上的铝路,在其末端皆设计成一 个约4mil见方的金属层,此即为焊垫,以作为接线使用。 焊垫通常分布再晶粒之四个外围上‎‎(以粒封装时的焊线作业),其形状多为正方形,亦有人将第一焊线点作‎‎成圆形,以资辨识。焊垫因为要作接线,其上得护层必须蚀刻掉,故可在焊垫上清楚地看到“开窗线”。而晶粒上有时亦可看到大块的金属层,位于晶粒内部而非四周,其上也看不到开窗线,是为电容。 23 BORON 硼 自然元素之一。由五个质子及六个中 子所组成。所以原子量是11。另外有同位素,是由五个质子及五个中‎‎子所组成原子量是10(B10)。自然界中这两种同位素之比例是4:1,可由磁场质谱分析中看‎‎出,是一种P-type的离子(B 11,),用来作场区、井区、VT及S/D植入。 24 BPSG 含硼及磷的硅化BPSG乃介于Poly之上、Metal之下,可 物 做为上下两层绝缘之用,加硼、磷主要目的在使回流后‎‎的Step较平缓,以防止Metal line溅镀上去后,造成断线。 25 BREAKDOWN 崩溃电压 反向P-N接面组件所加之电压‎‎为P接 VOLTAGE 负而N接正,如为此种接法则当所加电压通在某个特定值以下时反向电流很小,而当所加电压值大于此特定值 后,反向电流会急遽增加,此特定值也就是吾人所谓的崩溃电‎‎压(BREAKDOWN VOLTAGE)一般吾人所定义反向P, - N接面之反向电流为1UA时之电压为崩溃电‎‎压,在P, - N或 N,-P之接回组件中崩溃电‎‎压,随着N(或者P)之浓度之增加而减小。 26 BURN IN 预烧试验 「预烧」(Burn in)为可靠性测试的一种,旨在检验出哪些在使用初期即损 坏的产品,而在出货前予以剔除。 预烧试验的作法,乃是将组件(产品)至于高温的环境下,加上指定的 正向或反向的直流电压,如此残留在晶粒上氧化层与金属层之外来杂质离子或腐蚀性离子将容易游离而使‎‎故障模式(Failure Mode)提早显现出来,达到筛选、剔除「早期夭折」产品之目的。 预烧试验分为「静态预烧」(Static Burn in)与「动态预烧」(Dynamic Burn in)两种,前者在试验时,只在组件上加上额定的工作电压即‎‎消耗额定的功率,而后者除此外并有仿真实际工作 情况的讯号输入,故较接近实际状况,也较严格。 基本上,每一批产品在出货前,皆须作百分之百的预烧试验,馾由于成本及交货其等因素,有些产品旧祇作抽样(部分)的预烧试验,通过后才出货。另外对于一些我们认为它品质够 稳定且够水准的产品,亦可以抽样的方式进行,当然,具有高信赖度的产品,皆须通过百分之百的预‎‎烧试验。 27 CAD 计算机辅助设计 CAD:Computer Aided Design 计算机辅助设计,此名词所包含的范围很广,可泛称一切计算机为工‎‎具,所进行之设计;因此不仅在IC设计上用得到,建筑上之设计,飞机、船体之设计,都可能用到。 在以往计算机尚未广泛应用时,设计者必须以有限之记忆、经验来进行设计,可是有了所谓CAD后,我们把一些常用之规则‎‎、经验存入计算机后,后面的设计者,变可节省不少从头摸 索的工作,如此不仅大幅地提高了设计的准确度,使设计的领域进入另一‎‎新天地。 28 CD 微距测试 CD: Critical Dimension之简称。 MEASUREMEN‎‎T 通常于某一个层次中,为了控制其最小线距,我们会制作一些代表性‎‎之量测图形于晶方中,通常置于晶方之边缘。 简言之,微距测量长当作一个重要之制程指针,可代表黄光制程之控制‎‎好坏。 量测CD之层次通常是对线距控制较 重要之层次,如氮化硅、POLY、CONT、MET„等,而目前较常用于测量之图形有品字型,L-BAR等。 29 CH3COOH 醋酸 ACETIC ACID 醋酸澄清、无色液体、有刺激性气味、熔点16.63?、沸点118?。与水、酒精、乙醚互溶。可燃。冰醋酸是99.8,以上之纯化物,有别于水容易的醋酸食入或吸入纯醋酸有中等的毒性,对皮肤及组织有刺激 性,危害性不大,被溅到用水冲洗。 30 CHAMBER 真空室,反应室 专指一密闭的空间,常有特殊的用途:诸如抽真空、气体反应或金属溅度等。针对此特殊空间之种种外在或内在环境:例如外在粒子数(particle)、湿度及内在温度、压力、气体流量、粒子数等加以控制。达到芯片最佳反应条件‎‎。 31 CHANNEL 信道 当在MOS晶体管的闸极上加上电‎‎压(PMOS为负,NMOS为正),则闸极下的电子或电洞会被其电场所吸引或 排斥而使闸极下之区域形成一反转层‎‎(Inversion Layer),也就是其下之半导体P-type变成N-type Si,N-type变成P-type Si,而与源极和汲极,我们旧称此反转层为“信道”。 信道的长度“Channel Length”对MOS组件的参数有着极重要的‎‎影响,故我们对POLY CD的控制需要非常谨‎‎慎。 32 CHIP ,DIE 晶粒 一片芯片(OR晶圆,即Wafe)r上有许多相同的方形小单位,这些小单位及称为晶粒。 同一芯片上每个晶粒都是相同的构‎‎造,具有相同的功能,每个晶粒经包装后,可制成一颗颗我们日常生活中常见的IC,故每一芯片所能制造出‎‎的IC数量是很可观的,从几百个到几千个不等。同样地,如果因制造的疏忽而产生的缺点,往往就会波及成百成 千个产品。 33 CLT 截子生命周期 一、 定义 (CARRIER LIFE 少数戴子再温度平均时电子被束 缚在原子格内,当外加能量时,TIME) 电子获得能量,脱离原子格束 缚,形成自由状态而参与电流岛 通的的工作,但能量消失后,这些 电子/电洞将因在结合因素回复至 平衡状态,因子当这些载子由被 激发后回复平衡期间,称之为少 数载子“LIFE TIME“ 二、应用范围 1.评估卢管和清洗槽的干‎‎净度 2.针对芯片之清洁度及损‎‎伤程度对 CLT值有影响为 A.芯片中离子污染浓度及污染之 金属种类 B.芯片中结晶缺陷浓度 34 CMOS 互补式金氧半导金属氧化膜半导体(MOS,METAL-OXIDE 体 SEMICONDUC‎‎TOR)其制程程序及先在单晶硅上形成绝缘氧化膜,再沉积一层复晶硅(或金属)作为闸极,利用家到闸极的电场来‎‎控制MOS组件的开关(导电或不导电)。按照导电载子的种类,MOS,又可分成两种类型:NMOS(由电子导电)和PMOS(由电洞导电)。而互补式金氧半导体(CMOSCOMPLEMENTA‎‎RY MOS)则是由NMOS及PMOS组合而成,具有省电、抗噪声能力强、α-PARTICLE免疫力好等许多优点,是超大规模集成电路(VLSI)的主流。 35 COATING 光阻覆盖 将光阻剂以浸泡、喷雾、刷怖、或滚压等方法加于芯片‎‎上,称为光阻覆盖。 目前效果最佳的方法为旋转法;旋转法乃是将芯片以真空吸附于一个可旋转的芯片支持器上,适量的光阻剂加在芯片中央,然后芯片开始转动,芯片上的光阻剂向外流开,很均匀的散在芯片上。要得到均匀的光阻膜,旋转速度必须适中稳定。而旋转速度和光阻剂粘滞性绝应所镀光阻剂的‎‎厚度。 光阻剂加上后,必须经过软烤的步 骤,以除去光阻剂中过多的‎‎溶剂,进而使光阻膜较为坚硬,同时增加光阻膜与芯片的接合能力的主要方法就是在于适当调整软烤‎‎温度与时间。 经过了以上的镀光阻膜即软烤过程, 也就是完成了整个光阻覆盖的步骤‎‎。 36 CROSS SECTION 横截面 IC的制造基本上是由‎‎一层一层的图案堆积上去,而为了了解堆积图案的构造,以改善制程或解决制程问,经常会利用破坏性切割方式以电子‎‎显微镜(SEM)来观察,而切割横截面、观察横截面的方式是其中较为普遍‎‎之一种。 37 C-V PLOT 电容,电压圆 译意为电容、电压图:也就是说当组件在不同状况下,在闸极上施以某一电压时,会产生不同之电容值(此电压可为正或负),如此组件为理想的组件;也就是闸极和汲极间几乎没有杂 质在里面(COMTAMINAT‎‎ION)。当外界环境改变时(温度或压力),并不太会影响它的电容‎‎值,利用此可MONITOR MOS 组件之好坏,一般?V,0.2为正常。 38 CWQC 全公司品质管制 以往有些经营者或老板‎‎,一直都认为品质管制是品管部门或品管主管的责任,遇到品质管制做不好时,即立即指责品质主管,这是不对的。 品质管制不是品质部门或某一单位就可以做好的,而是全公司每一部门全‎‎体人员都参与才能做好。固品质管制为达到经营的目的,必须结合公司内所有部门全体人员协力合作,构成一个能共同认识,亦于实施的体系,并使工作标准化,且使所定的各种事项 确实实行,使自市场调查、研究、开发、设计、采购、制造、检查、试验、出货、销售、服务为止的每一阶段的品质都能有效的管理,这就是所谓的全公司品‎‎质管制(Company Wide Quality Control)。实施CWQC的目的最主要的就是要改善企业体质;即发觉问题的体质、重视的体质、重点指向的体质、重视过程的体质,以及全员有体系导向的‎‎体质。 39 CYCLE TIME 生产周期时间 指原料由投入生产线到产品于生产线产生所需之生产/制造时间。 在TI-ACER,生产周期有两种解释: 一为“芯片产出周期时间”(WAFER-OUT CYCLE TIME ), 一为“制程周期时间”(PROCESS CYCLE TIME) “芯片产出周期时间”乃指单一批号之芯片由投入到产出所需之生产‎‎/制造时间。 ―制程周期时间‖则指所有芯片于单一工站平均生产/制造时间,而各工站(从头至尾)平均生产/制造之加总极为该制程之制程周期‎‎时间。 目前TI-ACER LINE REPORT 之生产周期时间乃采用“制程周期时间”。 一般而言,生产周期时间可以下列公式概略推算之: 生产周期时间=在制品(WIP)/产能(THROUGHOUT) 40 CYCLE TIME 生产周期 IC制造流程复杂,且其程序很长,自芯片投入至晶圆测试‎‎完成,谓之Cycle Time。 由于IC生命周期很短,自开发、生产至销售,需要迅速且能掌握时效‎‎,故Cycle Time越短,竞争能力就越高,能掌握产品上市契机,就能获取最大的利润。 由于Cycle Time 长,不容许生产中的芯片因故报废或重做,故各项操作过程都要依照规范进行,且要做好故障排除让产品流程顺利‎‎,早日出FIB上市销售。 41 DEFECT DENSI‎‎TY 缺点密度 〝缺点密度〞系指芯片单位面积上 (如每平方公分、每平方英吋等)有多少〝缺点数〞之意,此缺点数一般可分为两大类:A.可视性缺点B.不可视性缺点。前者可藉由一般光学显微镜检查出来(如桥接、断线),由于芯片制造过程甚为复杂漫长,芯片上缺点数越少,产品量率品质必然越佳‎‎,故〝缺点密度〞常备用来当作一个工厂制造的产品品质好坏的‎‎指针。 42 DEHYDRATION 去水烘烤 目的: BAKE 去除芯片表面水分,增加光阻附着力。以免芯片表面曝光显影后光阻掀 起。 方法: 在光阻覆盖之前,利用高温(120?或 150?)加热方式为之。 43 DENSIFY 密化 CVD沉积后,由于所沈积之薄膜(THIN FILM之密度很低),故以高温步骤使薄膜中之分子重新‎‎结合,以提高其密度,此种高温步骤即称为密‎‎化。密化通常以炉管在800?以上的温度完成,但也可在快速升降温机‎‎台(RTP;RAPID THERMAL PROCE‎‎SS)完成。 44 DESCUM 电浆预处理 1.电浆预处理,系利用电浆方式(Plasma),将芯片表面之光阻加 以去除,但其去光阻的时间,较一般电浆光阻去除(Stripping)为短。其目的只是在于将芯片表面之光阻‎‎因显影预烤等制程所造成之光阻毛‎‎边或细屑(Scum)加以去除,以使图形不失真,蚀刻出来之图案不会有残余。 2. 有关电浆去除光阻之原理,请参阅「电浆光阻去除」(Ashing)。 3. 通常作电浆预处理,均以较低之力,及小之功率为之,也就是使光阻之蚀刻率降低得很低,使得均匀度能提高,以保持完整的图形,达到电浆预处理的目的‎‎。 45 DESIGN RULE 设计规范 由于半导体制程技术,系一们专业、精致又复杂的技术,容易受到不同制造设备制程方法(RECIPE)的影响,故在考虑各项产品如何从事制造技术完善,成功地制造出来时,需有一套规范来做有关技术上之规‎‎定,此即“DESIGN RULE”,其系依照各种不同产品的需求、规格,制造设备及制程方法、制程能力、各项相关电性参数规格等之考虑,订正了如: 1. 各制程层次、线路之间距离、线宽 等之规格。 2. 各制程层次厚度、深度等之规格。 3. 各项电性参数等之规格‎‎。 以供产品设计者及制程技术工程师等人之遵循、参考。 46 DESIGN RULE 设计准则 设计准则EDSIGN RULE:反应制程能力及制程组件参数,以供IC设计者设计IC时的参考准则。 一份完整的Design Rule包括有下列各部分: A.制程参数:如氧化层厚度、复晶、金属层厚度等,其它如流程、ADI、AEI 参数。主要为扩散与黄光两方‎‎面的参数。 B.电气参数:提供给设计者做仿真 电路时之参考‎‎。 C.布局参数:及一般所谓的3μm、2μm、1.5μm„等等之Rules,提供布局原布局之依据‎‎。 D.光罩制作资料:提供给光罩公司做光罩时之计算机‎‎资料,如CD BAR、测试键之摆放位置,各层次之相对位置之摆‎‎放等。 47 DIE BY DIE 每FIELD均对准 每个Field再曝光前均针对此‎‎单一Field ALIGNMENT 对准之方法称之;也就是说每个Field均要对准。 48 DIFFUSION 扩散 在一杯很纯的水上点一滴墨水,不久后可发现水表面颜色渐渐淡去,而水面下渐渐染红,但颜色是越来越淡,这即是扩散的一例。在半导体工业上常在很纯的硅芯片上以预置或离子布植的方式作扩散源(即红墨水)。因固态扩散比液体扩散慢很多(约数亿年),故以进炉管加高温的方式,使扩散在数小时内完成‎‎。 49 DI WATER 去离子水 IC制造过程中,常需要用盐酸容易来蚀刻、清洗芯片。这些步骤之后又需利用水把芯片表面残留的盐酸清除,故水的用量相当大。 然而IC。工业用水,并不是一般的自来水或地下水,而是自来水或地下水经过一系列的纯化而成。原来自来水或地下水中含有大量的细菌、金属离子级PARTI‎‎CLE,经厂务的设备将之杀菌、过滤和纯化后,即可把金属离子等杂质去除,所得的水即称为〝去离子水〞,专供IC制造之用。 50 DOPING 参入杂质 为使组件运作,芯片必须参以杂质,一般常用的有: 1.预置:在炉管内通以饱和的杂‎‎质蒸气,使芯片表面有一高浓度的杂质 层,然后以高温使杂质驱入扩散;或利用沉积时同时进行‎‎预置。 2.离子植入:先使杂质游离,然后加速植入芯片。 51 DRAM , SRAM 动态,静态随机随机存取记忆器可分动态及静态两‎‎种,主要之差异在于动态随机存取内存取内存 存(DRAM),在一段时间(一般是0.5ms,5ms)后,资料会消失,故必须在资料未消失前读取元资料‎‎再重写(refresh),此为其最大缺点,此外速度较慢也是其缺‎‎点,而DRAM之最大好处为,其每一记忆单元(bit)指需一个Transistor(晶体管)加一个Capacitor(电容器),故最省面积,而有最高之密度。而SRAM则有不需重写、速度快之优点,但是密度低,每一记忆单元(bit)有两类:A.需要六个Transistor(晶体管),B.四个Transistor(晶体管)加两个Load resistor(负载电阻)。 由于上述之优缺点,DRAM一般皆用在PC(个人计算机)或其它不需高速且记忆容量大之记‎‎忆器,而SRAM则用于高速之中大型计算机或其它只需小记忆容量。如监视器(Monitor)、打印机(Printer)等外围控制或工业控制‎‎上。 52 DRIVE IN 驱入 离子植入(ion implantation)虽然能较精确地选择杂质数量,但受限于离子能量,无法将杂质打入芯片较‎‎深(um级)的区域,因此需借着原子有从高‎‎浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散道教深的区域,且使杂质原子占据硅原‎‎子位置,产生所要的电性,另外也可将植入时产生的缺陷消除‎‎。此方法称之驱入。 在驱入时,常通入一些氧气,因为硅氧化时,会产生一些缺陷,如空洞(Vacancy),这些缺陷会有助于杂质‎‎原子的扩散速度。另外,由于驱入世界原子的扩散,因此其方向性是各方 均等,甚至有可能从芯片逸出‎‎(out-diffusion),这是需要注意的地 方。 53 E-BEAM 电子束微影技术 目前芯片制作中所使用之对准机,其 LITHOGRAPHY 曝光光源波长约为(365nm,436nm),其可制作线宽约1µ之IC图形。但当需制作更细之图形‎‎时,则目前之对准机,受曝光光源波长之限制,而无法达成,因此在次微米之微影技‎‎术中,及有用以电子数为曝光光源者,由于电子束波长甚短(,0.1A),故可得甚佳之分辨率,作出更细之IC图型,此种技术即称之电子束微影技术。 电子束微影技术,目前已应用于光罩制作上,至于应用于光芯片制作中,则仍在发展中。 54 EFR 早期故障率 Early Failure Rate是产品可靠度‎‎指针, (EARLY 意谓IC到客户手中使用其可能发生故障的机率。 FAILURE RATE) 当DRAM生产测试流程中经过BURN-IN高温高压测试后,体质不佳的产品便被淘‎‎汰。 为了确定好的产品其考‎‎靠度达到要求,所以从母批中取样本做可靠度测 试,试验中对产品加高压高温,催使不耐久的产品故障‎‎,因而得知产品的可靠度。 故障机率与产品生命周期之关系类‎‎似浴缸,称为Bathtub Curve. 55 ELECTROMIGRATI电子迁移 所谓电子迁移,乃指在电流作用下金 ON 属的质量会搬‎‎动,此系电子的动量传给带正电之金属离子所造成‎‎的。 当组件尺寸越缩小时,相对地电流密度则越来越大;当此大电流经过集成 电路中之薄金属层时,某些地方之金属离子会堆积起来,而某些地方则有金属空缺情形,如此一来,堆积金属会使邻近之导体短路,而金属空缺则会引起断路。 材料搬动主要原动力为晶界扩散。有些方法可增加铝膜导体对电迁移之抗力,例如:与铜形成合金,沉积时加氧等方式。 56 ELECTRON/HOLE 电子/ 电洞 电子是构成原子的带电粒子,带有一单位的负电荷,环绕在原子核四周形 成原子。 垫洞是晶体中在原子核间的共享电‎‎子,因受热干扰或杂质原子取代,电子离开原有的位置所遗留下来的“空缺”因缺少一个电子,无法维持电中性,可视为带有一单位的正‎‎电荷。 57 ELLIPSOMET‎‎ER 椭圆测厚仪 将已知波长之射入光分成线性偏极或圆偏极,照射在待射芯片,利用所得之不同椭圆偏极光之强度‎‎讯号,以Fourier分析及Fresnel方程式,求得待测芯片模厚度 58 EM 电子迁移可靠度当电流经过金属导线,使金属原子获得 (ELECTRO 测试 能量,沿区块边界(GRAIN MIGRATION Bounderies‎‎)扩散(Diffusion),使金属线产生空洞(Void),甚至断裂,形成TEST) 失效。 其对可靠度评估可用电流密度线性‎‎模型求出: AF=【J(stress)/J(op)】n×exp【Ea/Kb (1/T(op)- 1/T(stress))】 TF=AF×T(stress) 59 END POINT 终点侦测器 在电浆蚀刻中,利用其反应特性,特 DETECTOR 别设计用以侦测反应何时完成的‎‎一种装置。 一般终点侦测可分为下‎‎列三种: A.雷射终点侦测器(Laser Endpoint Detector): 利用雷射光入射反应物‎‎(即芯片)表 面,当时颗发生时,反应层之厚度会逐渐减少,因而反射光会有干扰讯‎‎号产 生,当蚀刻完成时,所接收之讯号亦已 停止变化,即可测得终点。 B.激发光终点侦测器(Optical Emission End Point Detector) 用一光谱接受器,接受蚀刻反应中某一反应副产物(Byproduct)所激发之光 谱,当蚀刻反应逐渐完成,此副产物减少,光谱也渐渐变弱,即可侦测得其终 点。 C.时间侦测器:直接设定反应时间, 当时间终了,即结束其反应。 60 ENERGY 能量 能量是物理学之专有名‎‎词。例如:B比 A之电压正100伏,若在A板上有一电 子受B版正电吸引而加速跑‎‎到B版, 这时电子在B版就比在A版多了100电 子伏特的能量。 61 EPI WAFER 磊晶芯片 磊晶系在晶体表面成长‎‎一层晶体。 62 EPROM 电子可程序只读MASK ROM内所存的资料,是在 FAB (ERASABLE-PRO存储器 内制造过程中便已设定好,制造完后GRAMMABLE 便无法改变,就像任天堂游戏卡内的‎‎ MASK ROM,存的是金牌玛丽就无法‎‎ROM) 变成双截龙。 而EPROM是在ROM内加一个特殊结 构叫A FAMDS‎‎,它可使ROM内的资 料保存,但当紫外光照到它时,它会使 ROM内的资料消失。每一个晶忆单位 都归口。然后工程人员再依程序‎‎的规 范,用30瓦左右的电压将‎‎0101….资料 灌入每一个记忆单位。如此就可灌电 压、紫外光重复使用,存入不同的资 料。也就是说如果任天堂卡内使用的 是EPROM‎‎,那么你打腻了金牌玛丽, 然后灌双截龙的程序进去,卡匣就变 成双截龙卡,不用去交换店交换了。 63 ESD 静电破坏 1自然界之物质均由原子组成,而原ELECTROSTATIC 静电放电 子又由质子、中子及电子组成。在DAMAGE 正常状态下,物质成中性,而在日ELECTROSTATIC 常活动中,会使物质失去电子,或DISCHARGE 得到电子,此即产生一静电,得到 电子之物质为带负‎‎静电,失去电子即 带正静电。静电大小会随着日常的‎‎ 工作环境而有所不同。如下表所示 。 活动情形 静 电 强 度 (Volt) 10,20,相对湿度 65-95,相对湿度 走过地毯 35,000 1,500 走过塑料地板 12,000 250 在以子上工作 6,000 100 拿起塑料活页7,000 600 夹,袋 拿起塑料带 20,000 1,000 工作椅垫摩擦 18,000 15,000 表1 日常工作所产生的静电‎‎强度表 2.当物质产生静电后,随时会放电, 弱放到子组件上,例如IC,则会将 组件破坏而使不能正常工作,此即为静电破坏或静电‎‎放电。 3.防止静电破坏方法有二‎‎: A.在组件设计上加上静电‎‎保护电路。 B.在工作环境上减少静电,例如工作桌之接地线,测试员之静电环。载运送上使用防静电胶套及海绵等‎‎等。 64 ETCH 蚀刻 在集成电路的制程中,常需要将整个电路图案定义出来,其制造程序通常是先长出或盖上一层所需要之薄膜,在利用微影技术在这层薄膜上,以光阻定义出所欲制造之电路图案,再利用化学或物理方式将不需要之‎‎部分去除,此种去除步骤便称为蚀‎‎刻(ETCH) 一般蚀刻可分为湿性蚀‎‎刻(WET ETCH)及干性蚀刻(DRY ETCH)两种。所谓干性蚀刻乃是利用化学品 (通常是盐酸)与所欲蚀刻之薄膜起 化学反应,产生气体或可溶性生成‎‎物,达到图案定义之目的。而所谓干蚀刻,则是利用干蚀刻机台产生电浆,将所欲蚀刻之薄膜反映产生气体 由PUMP抽走,达到图案定义之目的。 65 EXPOSURE 曝光 其意义略同于照相机底‎‎片之感光 在集成电路之制造过程‎‎中,定义出精细之光组图形为其中重要‎‎的步骤,以运用最广之5X STEPPER为例,其方式为以对紫外线敏感之光阻膜作为类似照相机底片,光罩上则有我们所设 计之各种图形,以特殊波长之光线(G-LINE 436NM)照射光罩后,经过缩小镜片(REDUCTION LENS)光罩上之图形则成5倍缩小,精确地定义在底片上(芯片上之光阻膜) 经过显影后,即可将照到光(正光阻)之光阻显掉,而得到我们想要之各种精细图形,以作为蚀刻或离子植 入用。 因光阻对于某特定波长之光线特别敏感,故在黄光室中早将一切‎‎照明用光元过滤成黄色,以避免泛白光源中含 有对光阻有感光能力之波长成分在,这一点各相关人员应特别注意,否则 会发生光线污染现象,而扰乱精细之光阻图。 66 FABRICATION制造 Fabrication为“装配”或“制造”之意, (FAB) 与Manufacture意思一样,半导体制造程序,其步骤繁多,且制程复杂,需要有非常精密的设备和细心的作业,才能达到吴缺点的品质‎‎。 FAB系Fabrication之缩写,指的是“工 厂”之意。我们常称FIB为“晶圆区”,例如:进去“FAB”之前需穿上防尘衣。 67 FBFC(FULL BIT 全功能芯片 由于产品上会有缺陷,所以有些芯片无法全功能工作。因此须要雷射修补FUNCTION CHIP) 前测试,以便找到缺陷位置及多寡,接着就能利用雷射修补,将有缺陷的芯片修补成全功能的芯‎‎片。《当缺陷超过一定限度时,无法修补成全功能芯片》 68 FIELD/MOAT 场区 FIELD直译的意思‎‎是〝场〞,足球场和武道场等的场‎‎都叫做FIELD。它的含意就是一个有专门用途的区‎‎域。 在IC内部结构中,有一区域是隔离电场的地方,通常介于两个MOS晶体管之间,称为场区。场区之上大部分会长一层厚的氧化‎‎层。 69 FILTRATION‎‎ 过滤 用过滤器(FILTER,为一半透膜折叠而成)将液体或气体中的杂质‎‎给过滤掉,此称为FILTRATION【过滤】 因IC制造业对洁净式的要求是非常严格的,故各种使用的液体或气体,必须借着一个PUMP制造压差来完成,如何炫则一组恰当的过‎‎滤器及PUMP是首要的课题。 70 FIT(FAILURE IN FIT适用以表示产品可靠度的单‎‎位 FIT=1Eailure in 10 9 Device-Hours TIME) 例如1000 Device 工作1000Hours后1 Device故障,则该产品的可靠度为:(1Failure)/(1000 Devices*1000 Hours)=1000 FITs 71 FOUNDRY 客户委托加工 客户委托加工主要是接受客户委托,生产客户自有权利的产品,也就是客户提供光罩,由SMIC来生产制造,在将成品出售给客户,指收取代工过程费用,这种纯粹代工,不涉及销售的方式在国际间较通常的称呼就是‎‎硅代 工(Silicon Foundry)。 72 FOUR POINT 四点侦测 ?是量测芯片片阻值(Sheet Resistance) PROBE RS的仪器。 ?原理如下: 有ABCD四针,A、D间通以电流I,B、 C两针量取电压差(?V), 则RS=K. ?V/I K是常数比例和机台及针尖距离有‎‎关 73 F/S(FINESONIC 超音波清洗 超音波清洗的主要目的是用来去除附 着在芯片表面的灰尘,其反应机构有CLEAN) 二: 1. 化学作用:利用SC-1中的NH4OH, H2O2与Silic‎‎on表面反应,将灰尘 剥除。 2. 2.物理作用:利用频率800KHz,功 率450W×2的超音波震荡去除灰‎‎ 尘。 74 FTIR 傅氏转换红外线FTIR乃利用红外线光谱经傅利叶转换 光谱分析仪 进而分析杂质浓度的光谱分‎‎析仪器。 目的: ?已发展成熟,可Routine应用者,计 有: A.BPSG/PSG之含磷、含硼量预测 。 B.芯片之含氧、含碳量预测。 C.磊晶之厚度量测。 ?发展中需进一步Setup者有: A.氮化硅中氢含量预测。 B.复晶硅中含氧量预测。 C.光阻特性分析。 FTIR为一极便利之分析仪器,STD的 建立为整个量测之重点,由于其中多 利用光学原理、芯片状况(i.e.晶背处理 状况)对量测结果影响至钜。 75 FTY(FINAL TEST 在晶圆出厂后,必须经过包装及T1 (断/短路测试),Burn -in(烧结),T3YIELD) (高温功能测试),T4(低温功能测 试),QA测试,方能销售、出货至客 户手中。在这段漫长而繁杂的测‎‎试过 程中,吾人定义Final Test Yield 为:T1 Yield* Burn –in Yield*T3 Yield*T4 Yield 76 FUKE DEFECT 成因为硅化物之氧化,尤其是以水蒸 气去致密化PBSG时会发生,造成闸极 (Poly Gate)与金属间的短路。 硅化物之氧化可分为二‎‎类型:(以TiSi2) 1. 热力学观点SiO2是最稳定,故Si 扩散至TiSi2之表面时会与水‎‎反应 成SiO2而非TiO2。 2. 动力学观点而言,当Si不足时则会 形成TiO2而将TiSi2分解。 77 GATE OXIDE 闸极氧化层 GATE OXIDE是MOSFET(金氧半场效晶体管)中相当重要的闸极之下的氧化层。此氧化层厚度较薄,且品质要求也较严格。 78 GATE VALVE 闸阀 用来控制气体压力之控制装置。通常闸阀开启越大,气体于反应室内呈现 之压力较低;反之,开启越小,压力较高。 79 GEC(GOOD 优良电器特性芯能够合于规格书(Data Book)上所定 ELECTRICAL‎‎ 片 义电器特性的芯片。这些芯片才能被送往芯片包装工厂制成成品销‎‎售给客CHIP) 户。 80 GETTERING 吸附 “Gettering”系于半导体制程中,由于可能受到晶格缺陷‎‎(Crystal Defec‎‎t)或金属类杂质污染等之影响,造成组件接口之间可能‎‎有漏电流(Junction Leakage)存在,而影响组件特性;如何将这些晶格缺陷、金属杂质摒除解决的种种技术上作‎‎法,就叫做 ‖Gettering‖吸附。吸附一般又可分 ―内部的吸附‖---Intrinsic Gettering 及 ―外部的吸附‖---Extrinsic Gettering。前者系在下线制造之前先利用特殊高温步骤让晶圆表面的「晶格缺陷或含氧量」尽量降低。后者系利用外在方法如:晶背伤言、磷化物(POCl3)预置ETC将晶圆表面的缺陷及杂质等尽量吸附到晶圆背面。两者均可有效改善上述问题。 81 G-LINE G-光线 G-line系指一种光波的波长,多系水银灯所发出之光波波长之一‎‎,其波长为436nm。 G-line之光源,最常作为‎‎Stepper所用之水银灯,本来系由许多不同之波长的光组成,利用一些Mirror和Filter反射、过滤的结果,会将其它波长之光 过滤掉,仅余G-line作为曝光用。使用 单一波长作为曝光光源可以得到较佳 的能量控制和解吸力,但由于其为单 色波故产生之驻波效‎‎应(Standing Wave)对光阻图案产生很大的影响。 在选择最佳光阻厚度,以府合驻波效 应,成为G-line Standing最要的工作之 一。 82 GLOBAL 整片性对准与计Global Alignment系指整片芯片在曝光ALIGNMENT 算 前,先作整片性之对准与计算,然后 接着可做整片芯片‎‎之曝光。 ?GLOBAL ALIGNMENT分为两种: 1普通的Global Alignment:每片芯片 共对准左右两‎‎点。 2 Advance Global Alignment:每片芯片 对准预先设定好之指定数‎‎个Field的对 准键,连续对准完毕并晶计算机计算 后,才整片曝光。 83 GOI(GATE OXIDE 闸极氧化层完整半导体组件中,闸极氧化层的完整与 性 否关系着电容上电荷的存放能力,故INTEGRITY) 需设计一适当流程,其主要目的在侧 闸极氧化层之崩溃‎‎电压(breakdown voltage)、有效氧化层厚度等,以仿 真闸极氧化层的品质及可信赖度,通 常即以此崩溃电压值‎‎表示GOI的优劣 程度。 84 GRAIN SIZE 颗粒大小 一种晶体材料形成后,从微观的角度 来看,材料都是一大堆颗粒垒叠在一 起而成。这些颗粒有大有小,尺寸不 一。而且材料的特性也会因为颗粒大 小而变化,故常要注意其大小变化‎‎。 85 GRR STUDY测量仪器重复性将良策仪器的重复性—一其本身的变(GAUGE 与再现性之研究 异,再现性—操作人本身的变异,用统REPEATABILITY 计的方法算出,以判断量测仪器是否AND 符合制程参数控制之需要‎‎。 REPRODUUCIBILI TY) 86 H2SO4 硫酸 Suifuric Acid硫酸,为目前最广泛使用 的工业化学品。强力腐蚀性、浓稠、 油状液体,依纯度不同,由无色至暗 棕色,与水以各种不同比例互‎‎溶,甚具 活性。 溶解大部分的金属。浓硫酸具氧化、 脱水、磺化大部分的有机化合物,常 常引起焦黑。比重1.84,沸点315?。与水混合时需格外小心,由于放热引起爆炸性的溅泼,永远是将酸加到水中,而非加水至酸中。不小心被溅到,用大量水冲洗。目前在线上,主要用于SO清洗及光阻去除。 87 H3PO4 磷酸 PHOSPHORIC‎‎ ACID 磷酸 无色无谓起泡液体或透明晶形固体‎‎。依温度、浓度而定。在20?50,及75,强度为易流动液体,85,为似糖浆,100,酸为晶体。比重1.834,熔点42.35?。在213?失去Y2 H2O,形成焦磷酸。 溶于水、乙醚,能腐蚀铁及合金。对皮肤、眼睛有刺激性,不小心溅到,可用水冲洗。 目前磷酸用于SI3N4的去除,浓度是85,,沸点156?,SI3N4与SIO2的蚀刻比约为30:1。 88 HCL 氯化氢(盐酸) Hydrochlor‎‎ic Acid盐酸,为无色或淡黄色,发烟,刺激性液体。氯化氢的水溶液。盐酸是一种强烈酸性及高腐蚀性酸。市面出售之‖浓‖或发烟酸含有氯化氢38%,比重1.19。 氯化氢溶解在水中有各种不同的浓‎‎度。可溶于水、酒精、苯、不可燃。用途广泛。可用于食品加工、金属之酸洗与清洁、工业酸化、一般之清洗、实验试药。 不小心被溅到,用大量水冲洗。目前线上,主要用于RCA清洗。 89 HEPA 高效率过滤器 HEPA(High Efficiency Particulate Air Filter)为洁净室内用以滤去微粒之装置,一般以玻璃纤维制成,可将0.1μm 或0.3μm以上之微粒滤去‎‎99.97,, 压力损失约12.5?H2O。 层流台能保持Class100以下之洁净度‎‎,即靠HEPA达成。目前除层流台使用HEPA外,其它如烤箱、旋转机,为了达到控制Particle的效果,也都装有 HEPA之设计。 90 HILLOCK 凸起物 金属溅镀后为使金属与‎‎硅基(Si-Substrate)有良好的欧姆式接触 需先经融合过程,在融合过程中因铝与硅的热膨胀系数不同(铝将会膨胀 较快),而造成部分的铝无法向外扩张只得向上膨胀造成小山丘状‎‎的 ‖凸起物‖--Hillock。 91 HMDS HMDS蒸镀 HMD原为化学药品HexaMethylD‎‎iSilazane的缩写,在此则是指芯片在上光阻前的一个预先处理 步骤。 HMDS蒸镀就是利用‎‎惰性气体(例如氮气)带着HMDS的蒸汽通过芯片表 面,而在芯片表面形成一层薄膜。其目的在于: A.消除芯片表面的微量水‎‎分。 B.防止空气中的水汽再次吸附于晶面‎‎ C.增加光阻剂(尤其是正光阻)对于晶 面的附着能力,进而减少在尔后之显 影过程中产生掀起,或是在蚀刻时产 生了‖Undercutti‎‎ng‖的现象。 目前在规范中规定于HMDS蒸镀完4小时内需上光阻以确保其功能‎‎。 92 HNO3 硝酸 NITRIC ACID硝酸透明、无色或微黄色、发烟、易吸湿之腐蚀性液体,能腐蚀大部分金属。歧黄色是由于曝光所产生之二氧化氮,为强氧化剂,可与水混合,沸点78?,比重1.504。IC产业中硝酸用于清洗炉管,但对皮肤有腐蚀性,为强氧化剂,与有机物接触有起火危险。清洗炉管用。 93 HOT ELECTRON 热电子效应 在VLST的时代,Short Channel Devices EFFECT 势在必行,而目前一般Circuit 应用上又未打算更改Supply Voltage;如此一来,VG=VD S=5V情况下,将造成Impact Ionization(撞击游离化)现象发生于Drain邻近区域。伴随而生之 Electron-Hole pairs(电子电洞对),绝大部分经由Drain(Electrons)or Sub. (Holes)导流掉。但基于统计观点,总会有少部分Electrons(i.e. Hot-Electrons)所具Energy,足以克服Si-SiO2之Barrier Height(能障),而射入SiO2且深陷(Trap)其中。另亦有可能在Hot-Electrons射入过程中打‎‎断Si-H 键结,而形成Interface Trap 于Si-SiO2 接口。不论遵循上述二者之任‎‎一,均将 导致NMOS Performance的退化 (Degradation)现象。 94 I-LINE STEPPER I-LINE步进对准当光罩与芯片对准后,利用365nm之 波长为光源,将预坐在光罩上图形以‎‎曝光机 M:1之比例,一步一步的重复曝光至 芯片上之机‎‎器。 95 IMPURITY 杂质 纯粹的硅市金刚石结构,在室温下不 易导电。这时如加一些B11或As 7 5取代 硅的位置,就会产生“电洞”或“载 子”,加以偏压后就可轻易导电。加 入的东西即称为杂质‎‎。 96 INTEGRATED 集成电路 集成电路是一九五八年由美国德州仪CIRCUIT(IC) 器公司所发明的。他是将一个完整的 电子电路处理在一块小小的硅芯片 上,然后再以金属联机与外在引线相 接,外加陶瓷或塑料包装的装置,由 于它能将原本需要许多零件的电子电 路集中缩小,因此被称为集成电路。 它具备优于传统电子电路的三个特‎‎性: 体积小、廉价、可靠。 依照其集积化的程度可区分为小型‎‎ (SSI)、中型(MSI)、大型(LSI)、超 大型(VLSI)集成电路。 97 ION IMPLANTER 离子植入机 在IC制程中有时需要精确地控制杂质 的浓度及深度,此时即不宜由扩散之 方式为之,故以‖离子植入机解离特定‖ 气体后调整离‎‎子束电流(Beam Current),计算电流X时间得到所植入 杂质的浓度并利用加速电压控制植入 的深度。 98 ION 离子植入 1. 由于加速器集真空技术的发展,离IMPLANTATI‎‎ON 子布植机成为本世纪高科技产品之 一,取代了早先的预置制程‎‎。 2. 其好处有: 2-1可精确控制剂量。 2-2在真空下操作,可免除杂质污 染。 2-3可精确控制植入的深‎‎度。 2-4是一种低温的制程。 2-5 只要能游离,任何离子皆可 植入 99 ISOTROPIC 等向性蚀刻 在蚀刻反应中,除了纵向反应发生 ETCHING 外,横向反应亦同时发生,此总蚀刻即称之为等向性蚀刻。一般化学湿蚀刻多发生此种现象。 干式蚀刻,其实刻后的横截面具有异向性蚀刻特性(Anisotropic),即可得到较陡的图形。 100 ITY(INTEGRATED 为界定产品从wafer fab至组装、测试所有流程的良率,其定义为: TEST YIELD) INTEGRATED‎‎ TEST YIELD =Wafer Yield*MPY*ATY Note:MPY:Multi-Probe Yield ATY:Assembly Test Yield 101 LATCH UP 栓锁效应 当VLSI线路密度增加,Latch-Up之故障模式于MOS VLSI中将愈来愈严‎‎重,且仅发生于 CMOS电路,所有 COMS电路西寄生晶体管所‎‎引起的LATCH-UP问题称之为SCR (SILICON-CONYROLLED RECTIFIER)LATCH-UP,在S1基体内CMOS中形成两个双截子晶体‎‎管P-N-P-N形式的路径,有如一个垂直的P+-N-P与一个水平N+-P-N晶体管组合形成于CMOS反向器,如果电压降过大或受到外界电压、电流或光的触发时,将造成两个晶体管互相导过而短路,严重的话将使IC烧毁,故设计CMOS路防止LATCH‎‎-UP的发生是当前IC界最重要的课题。 102 LAYOUT 布局 此名词用在IC设计时,是指将设计者根据客户需求所设计‎‎之线路,经由CAD(计算机辅助设计),转换成实际制作IC时,所需要之光罩布局,以便去制作光罩。因此此一布局工作,关系到光罩制作出后是和原设计者之要求符何,因此必须根据一定之规‎‎则,好比一场游戏一样,必须循一定之规则,才能顺利完成,而布局完成后之图形便是IC工厂制作时所看到的光罩图形。 103 LOAD LOCK 传送室 用来隔绝反应室与外界大器直接接‎‎触,以确保反应室内之洁净,降低反应是受污染之程度。一般用于电浆蚀刻及金属溅度等具有真空反应‎‎室之设备。 104 LOT NUMBER 批号 批号乃是为线上所有材料之身份证‎‎,KEY IN批号如同申报流动‎‎户口,经由COMAX系统藉以管制追踪每批材料 之所在站别,并得以查出每批材料之‎‎详细相关资料,固为生产过程中之重 要步骤。批号为7,其编排方法如下: X X X X X 年码 流水序号 92 00001 93 00002 94 00003 以下类推 ※批号之产生乃于最投片‎‎时由SMS系 统自动产生。 105 LPCVD(LOW 低压化学气相沉LPCVD的全名是Low Pressure 积 Chemical Vapor Deposition,即低压化PRESSURE) 学气相沉积。这是一种沉积方法。在IC制程中,主要在生成氮化硅、复晶硅、二氧化硅及非晶硅等不‎‎同材料。 106 LP SINTER 低压烧结 低压烧结(Low Pressure Sinter, LP Sinter),指在低于大气压力下(一般为50 Pa或更地),加热组件。目地在使金属膜内之原子,籍由热运动重新排列,以减少原有之晶格缺陷,形成较佳之金属结晶颗粒以增加膜‎‎之品质。 由于在低压下热传导之途径主要为‎‎辐射(Radiation)而非对流(Convection‎‎)或传导(Conduction),因此控温之方式须选以加热线圈为‎‎监控温度(Spike Control)而非实际芯片或管内之‎‎温度(Profile Control),以避免过热(Over-Shooting)之现象。 107 LPY(LASER 雷射修补前测试针测出能够被雷射修补后,产生出全 良率 功能的芯片,比便送入雷射修补机,PROBE YIELD) 完成雷射修补的动作。此测试时由全功能芯片一开始就是全功能芯片,须要经过雷射修补前测试,计算出缺陷多寡及位置,以便进行雷射修补,将缺陷较少的芯片修补成全功能芯片。(缺陷超过一定限度时无法修补成全‎‎功能芯片) 108 MASK 光罩 MASK原意为面具,而事实上光罩在整个IC制作流程上,所扮演之角色艺有几分神似。 光ˋ照主要之用途在于利用光阻制‎‎程,将我们所需要之图形一直复印在 芯片上,制作很多之IC晶方。 而光罩所用只对准机台‎‎,也分为1X,5X,10X,MASK(即1:1,5:1,10:1)等,而根据其制作之材质又可分为石英‎‎光罩(QUARTY),绿玻璃光罩等。 109 MICRO,MICROME微,微米 1.定义: TER,MICRON Micro为10-6 1 Micro=10-6 1 Micrometer =10-6 m=1 Micro=1μm 通常我们说1μ即为10-6 m 又因为1Å=10-8?=10-10m(原子大小) 故1μ=10,000Å约唯一万个原子堆积而成的厚度或长‎‎度。 110 MISALIGN 对准不良 1.定义: 这层光阻图案和上层【即留在芯片上者】图案叠对不好,超出规格。可依照不同层次的规格决定要不要‎‎修改。 原因:人为、机台、芯片弯曲、光罩 111 MOS 金氧半导体 1.定义: 构成IC的晶体管结构可分为两型‎‎,双载子型(bipolar)和MOS型(Metal-Oxide-Semiconduc‎‎tor)。双载子型IC的运算速度较快但电力消耗较大 ,制造工程也复杂,并不是VLSI的主流,而MOS型是由电厂效‎‎应晶体管(FET)集积化而成。先在硅上形成绝缘氧化膜之后,再由它上面的外加电极(金属或复晶硅)加入电场来控制其动作,制程上比较简单,,。也较不耗电,最早成为实用化的是P-MOS,但其动作速度较慢,不久更高速的N-MOS也被采用。一旦进入VLSI的领域之后,NMOS的功率消耗还是太大了于是由P-MOS及 N_MOS组合而成速度更高,电力消耗更少的互补 式金氧半导体‎‎(CMOS,Complement‎‎ary MOS)遂成为主流。 112 MPY(MULTI 多功能侦测良率 针测出符合电路特性要求的芯片,以便送刀封包工厂制成内存成品;此测PROBE YIELD) 试时得到的良品率‎‎称之。 每片晶圆上并不是每一个芯片都能符合电路特性的要求,因此须要多功能 针测以找出符合要‎‎求的芯片。 113 MTBF(MEAN MTBF为设备可靠度的评估标准之 TIME BETWEEN 一,其意指设备前后发生故障的平均 时间。MTBF时间愈短表示设备的可靠FAILURE) 度愈佳,另外MTTR为Mean Time to Repair为评估设备修复的能‎‎力。 114 N2,NITROGEN 氮气 定义: 空气中约4/5是氮气。氮气势一安定之惰性气体,由于取得不难且安定,故Fib内常用以当作‎‎Purge管路,除去脏 污、保护气氛、传送气体(Carrier Gas)、及稀释(Dilute)用途。另外,氮气在零下196?(77F)以下即以液态存在,故常被用作真空冷却源‎‎。 现在Fab内Clean House用之氮气为‎‎厂务提供99.999,纯度者,生产线路所用之氮气为瓶装更高纯‎‎度者。 因氮气之用量可局部反应生产成本,故应节约使用以降低成‎‎本。 115 N,P TYPE N,P型半导体 1. 定义: SEMICONDUCTOR 一般金属由于阻值相当‎‎低(10-2Ω ,?以下),因此称之为良导体, 而氧化物阻值高至105Ω,?以 上,称之非导体或绝缘体。若阻值 在10-2,105Ω,?之间,则名为 半导体。 IC工业使用的硅芯片‎‎,阻值就是 在半导体的范‎‎围,但由于Si(硅) 是四价键结(共价键)的结构,若 参杂有如砷(As)磷(P)等五价元 素,且占据硅原子的地位 (Substitutional Sites),则多出一 个电子,可用来导电,使导电性增 加,称之为N型半导体。若参杂硼 (B)等三价元素,且仍占据硅原 子的地位,则键结少了一个电子, 因此其它键结电子在足够的热激发‎‎ 下,可以过来填补,如此连续的电 子填补,称之为电洞传导,亦使硅 之导电性增加,称之为P型半导体。 因此N型半导体中,其主要带电粒 子为带负电的电子,而在P型半导 体中,则为带正电的电洞。在平衡 状况下(室温)不管N型或P型半 导体,其电子均与电洞浓度的乘积 值不变。故一方浓度增加,另一方 即相对减少。 116 NSG 无参入杂质硅酸NSG为半导体集成电路中之绝缘层材 (NONDOPED 盐玻璃 料,通常以化学气相沉积的方式声 称,具有良好的均匀覆盖特性以及良SILICATE GLASS) 好的绝缘性质‎‎。 主要应用于闸极与金属或金属与金属间高低不平的表面产生均匀的覆盖及良好的绝缘,并且有助于后绩平坦化‎‎制程薄膜的生成。 117 NUMERICAL 数值孔径 1. 定义: APERTURE(N.A.) NA是投影式对准机,其光学系统之解析力(Resolution)好坏的一项指针。NA值越大,则其解析力也越佳。依照定义,数值孔径 NA=n.sinØ=n.D/2/f=n.D/2f 换算成照相机光圈值f-number(f/#)可得f/#=f/d=1/2NA(D:镜面直径。f:镜头焦距。n:镜头折射率。f/#即我们在照相机镜头之光圈值上常‎‎见的f/16,8,5.6,4,5.3,2.8等即是)亦即,镜片越大,焦距越短者,解析力就越佳,但镜片的制作也就越困难,因为易产生色差(Chromatic Aberration)及像畸变(Distorsion),以CANON Stepper为例,其NA=0.42,换算成照相机光圈,Stepper镜片之昂贵也就不足为奇了。 118 OEB(OXIDE ETCH 氧化层平坦化蚀将Poly-1上之多余氧化层(Filling 刻 OX)除去,以达到平坦化之目的。 BACK ) 119 OHMIC CONTACT 欧姆接触 1. 定义: 欧姆接触试纸金属与半导体之接触 ,而其接触面之电阻值远小于半导 体本身之电阻,使得组件操作时, 大部分的电压降在于活‎‎动区(Active region)而不在接触面。 欲形成好的欧姆接触,有两个先决 条件: A.金属与半导体间有低的‎‎接口能障 (Barrier Height) B.半导体有高浓度的杂质‎‎渗入 (ND>=1018 ?-3) 前者可使接口电流中热‎‎激发部分 (Thermionic Emission)增加;后 者则使接口空乏区变窄,电子有更 多的机会直接‎‎穿透(Tunneling),而 同时Rc阻值降低。 若半导体不是硅晶,而是其它能量 间隙(Energy Gap)较大的半导体 (如GaAs),则较难形成欧姆接 触(无适当的金属可用),必须于 半导体表面参杂‎‎高浓度杂质,形成 Metal-n+ -n or Metal-P+ -P等结构。 120 ONO(OXIDE 氧化层-氮化层-半导体组件,常以ONO三层结构做为介电质(类似电容器),以储存电荷NITRIDE OXIDE) 氧化层 ,使得资料得以在此存取‎‎。 在此氧化层 - 氮化层 – 氧化层三层结构,其中氧化层与基晶的结合较氮化层好,而氮化层居中,则可阻挡缺陷(如pinhole)的延展,故此三层结构可互补所缺。 121 OPL (OP LIFE)使用期限(寿命) 任何对象从开始使用到失效所花时间为失败时间(Time of Failure: TF),对(OPERATION 产品而言,针对其工作使用环境LIFE TEST) (Operation),所找出的TF,即为其使用期限(Operation Life Time)。 其方法为: AF = exp [ß (Estress-Eop)] *exp [ Ea / k (1 / Top – / Tstress)]..(1) -5K = 8.63 * 10 9FailureRateλ(t)=No. of Failure * 10 / Tatal Test Time * AF * Device, in FIT Total Test Time * AF = Operation Hours‎‎ 122 OXYGEN 氧气 OXYGEN氧气 无色,无气味,无味道双原子气体。在,183?液化成浅蓝色的液体,在218?固化。在海平面上,空气中约占20,体积的氧,溶于水和乙醚,不可燃,可以助燃。 在电浆光阻去除中,氧气主要用来去除光阻用。 在电浆干蚀刻中,氧混入CF4气体中,可增加CF4气体的蚀刻速度。目前氧气主要用途在于电浆光阻去除;利用氧气在电浆中产生氧的自由基‎‎(RADICAL)与光阻中的有机物反应 ,产生二氧化碳和水气体蒸发,达到 去除光阻的效果。 123 P31 磷 ?自然界元素之一。由15个质子及16 个中子所组成‎‎。 ?离子植入的磷离子,是由气体PH33 L+经灯丝加热分解得‎‎到的 P离子, 借着Extraction 抽出气源室经加速 管加速后,布植在芯片上。 ?是一种N-type离子,用做磷植入, S/D植入等。 124 PARTICLE 尘粒污染 尘粒污染:由于芯片制造过程甚为漫CONTAMINATION 长,经过的机器、人为处理操作过程 甚为繁杂,但因机器、人为均获多或 少会产生一些尘粒,这些尘粒一但沾 附到芯片上,集会造成污染影响,而 伤害到产品品质与良率,此即『尘粒 污染』,我们在操作过程中应时时防 着各项尘粒污染来源。 125 PARTICLE 尘粒计数器 1.定义: COUNTER 快捷方式市之等即是以每立方呎内之 为例数为分类标准,而计算微粒数的 仪器即称尘粒计数‎‎器。 126 PASSIVATION 护层 1. 定义: OXIDE(P/O) 为IC最后的制程,用以隔绝Device 和大气 2. 目的: 因与大气接触,故着重在Corrosion (铝腐蚀)、Crack(龟裂)、Pin Hole (针孔)之防治。除了防止组件为 大气中污染之隔绝外,护层也可当 作Metal层之保护,避免Metal被刮 伤。 3. 方法: 护层可分两种材料: A.大部分产品以PSG当护层(P Content 2-4,)。 B.少部份以PECVD沉积之氮化硅‎‎ 为之。 127 P/D(PARTICLE 尘粒缺陷 Particle Defec‎‎t颗粒缺陷为当今影响‎‎4M DRAM制程良率的最‎‎大主因,一般而DEFECT) 言,particle size如大于design rule的二 分之一,足以造成组件的损坏。故在 clean room的洁净度要求,操作人员 的洁净纪律、设备本身的结构以及制‎‎ 程的条件和设备维修的能力,无一不 为了降低particle和提升良率而做最大 的努力。 128 PECVD 电浆CVD 1.定义: CVD化学反应所须知能量可以是热能、光能或电浆。以电浆催化之CVD称作PECVD。PECVD的好处是反应速度快、较低的基版温度及Step Coverage;缺点是产生较大的应力‎‎,现Fib内仅利用PECVD做氮化硅护层。 PECVD英文全名为Plasma Enhancement CVD。 129 PELLICLE 光罩护膜 一般在光罩过程中,易有微尘掉落光罩上,而使chip有重复性缺陷,故在光罩上下面包围一‎‎层膜,称之为Pellicle。 好处如下: 1. 微层仅只掉落在膜上,光绕射结 果对于此微尘影响图按程度将降 至最低。 2. 无须经清洗过程而只须用空气枪 吹去膜上异物即可将异物‎‎(微层) 去除。 130 PELLICLE 光罩保护膜 顾名思义,光罩保护膜之最大功能,即在保护光罩,使之不受外来赃污物 之污染,而保持光罩之洁净;一般使用之材料为硝化织微素,而厚度较常用的有0.28U,0.86U两种。 一般而言,可将PELLICLE分为两部分 (:I)FRAME:骨架部分,支持其薄膜之支架,其高度称为STAND‎‎-OFF,一般而言,愈高其能忍受PARTICLE之能力愈高,但须配合机台之设计使‎‎用,(II)FILM:透明之薄膜,其厚度之均匀度,透光率是使用时重要之‎‎参数。 PELLICLE之寿命,除了人为损伤外,一般均可曝光数十万次,透光率衰减后才停用并‎‎更换。 光罩 PELLICLE膜 PARTICLE LENS SYSTE‎‎M WAFER PELLICLE面之成像 131 PH3 氢化磷 1.定义: 一种半导体工业之气体,经灯丝加热 供给能量后,可分解成P4,PH4、PH2(及H4)。通常31P4最大。可由质谱谱场分析出来‎‎,做N-type离子布植用 132 PHOTORESIS‎‎T 光阻 光阻为有机材料,系利用光线照射始 有机物质进行光化学反应而产生分子 结构变化,在使用溶剂使之显像。 目前一般商用光阻主要含有二部分‎‎(1)高分子树酯(2)光活性物质,一工作原理不同可分为‎‎正,负两类: (1)正型:光活性物质为 DIAZOQUINOUE类,照光前难溶 于碱液中,有抑制溶解树酯功能, 照光后产生羧酸,反有利于碱液 溶解,因此可区分曝光区与非‎‎曝 光区。 (2)负型:光活性物质为DIAZIDE类, 照后生成及不安定之双‎‎电子自由 基,能与高分子树酯键结,而增加 分子量,选择适当溶剂便可区分‎‎曝 光区与非曝光区。 目前SMIC使用之正、负光阻,皆为适用于G-LINE(436NM)制程之光阻 。 133 PILOT WAFER 试作芯片 Pilot Wafer为试作芯片,并非生产芯片(Prime Wafer)。 在操作机器前,为了确定机器是否正 常所作的试片,或机器作完维修、保养后所作的测试用芯‎‎片均称为Pilot Wafer。由于Pilot Wafer所做出来的结果将决定该批的制程‎‎条件。故处理Pilot Wafer时,所抱持的态度必须和处‎‎理Prime Wafere一样慎重。 134 PINHOLE 针孔 在光阻制程所谓的针孔,就是在光阻覆盖时,光阻薄膜无法完全盖住芯片表面,而刘有细小如针孔般的缺陷,再蚀刻制程时,很可能就被蚀刻制程 穿透而致芯片‎‎的报废。 在以往使用负光阻制程时,由于负光阻粘稠性较大,覆盖较薄,因此容易出现针孔,固有些层次(如CONTACT)必须覆盖两次,才能避免针孔的发生。 目前制程大多使用正光阻,覆盖较厚,已无针孔的问题存在,QC亦不作针孔测试。 135 PIRANHA CLEAN 过氧硫酸清洗 过氧硫酸(peroxymonosulfuric acid)又称为CARO’sacid,主要由硫酸加双氧水反应声称,反应式如下: H2SO4 + HO ,,,H2SO5 + HO 222H2SO5为一强氧化剂,可将有机物氧化分解为CO + HO,因此在IC制程中22 常用来去除残留‎‎之光阻,另外对金属污染及微尘污染也有相当好的清洗‎‎效果。 Piranha原意为食人鱼,在这里则是用来形容过氧硫酸与光阻之间的剧‎‎烈反应。 136 PIX 聚醯胺膜 PIX作用为缓冲护层‎‎,可保护CELL于封装时缓冲封装‎‎所造成之应力,且可隔绝α – Particle,PIX本身为一负光阻 。 137 PLASMA ETCHING 电将蚀刻 1.定义: 在干蚀刻技术中,一班多采用电浆蚀刻与活性离子蚀刻,通常电浆蚀刻使用较高之压力(大于200mT)及较小之RF功率,当芯片浸在电浆之中,暴露在电将之表面层原子或分子与电浆中之活性原子接触并发生反应形成气态 生成物而离开晶面造成蚀刻,此类蚀刻即称之为电浆蚀刻。所谓电浆极为气体分子在一电场中被游离成离子(正、负电荷)、电子及中性基(Radical)等,在纯化学反应中,吾人取中性基为蚀刻因子,在R.I.E时,取活性离子作为中性因‎‎子。 138 PM 定期保养 设备正常运转期间停机,实施定期 (PREVENTIVE (每天、每周、每月或每季等)的设备保养。例如:检修,上油,润滑,MAINTENANC‎‎E) 更换消耗材等。有良好的PM才能发挥高的设备运转效率,发挥设备最高的使用率。 139 POCL3 三氯氧化磷 1.定义: 一种用作N4扩散之化合物。 通常以N2为“载气”(Carrier Gas),带着POCl3和O2(氧气)一起进入高温炉管,然后产生下列反应: 4POCl3+3O2 2P2 O5+6Cl2 5 P2 O5+5Si 4P+5SiO2 在反应过程中,磷沉淀于硅表面,同时硅表面亦行成一氧‎‎化层。 140 POLY SILICON 复晶硅 SILICON是IC制造的主要原料之一。通常其结构都是单晶(单一方向的晶体)。而本名词也是SILICON,只是其结构是复晶结构。及其结晶的结构是多方向的,而非单一方向。 POLY SILICON通常用低压化学气相沉积的方法沉积而得。其主要用途在作MOS的闸极极单元的接连。 141 POX 聚醯胺膜含光罩POX为PIX / PO Reticle Combine之略 功能 写,即PIX除具缓冲 护层之作用外,同时可做PO Pattern用之光阻。PIX本身为一负光阻‎‎。 142 PREHEAT 预热 1.定义: 在3190作金属溅镀时,第一个Station适用来预热芯片。 2.目的: 2-1使芯片在大气中吸附的气体,藉加热加速其在真空中之排除,溅镀时可以有较干净之‎‎接口。 2-2芯片温度高,溅镀之金属原子可以有较高之移动率,而使表面扩散较完全,有较好的表面覆盖性。 ※但预热的温度有其限制,高的建度温度使得金属与硅之接触电阻升高,也使得金属突起(Hillock)变的严重,而让表面反射率变差,在金属闸产品,也发现温度不同会造成其临界电 压的改变。 143 PRESSURE 压力 1. .定义: 气体分子撞击反应室之器璧所产生之力量。气体分子越少、压力越低。反之气体分子越多、压力越高。 ?如压力<大气压力时,表示真空,其压力 单位即为真空度。 1大气压=1atm=760mmHg水银柱压力 1Torr(扥)=1/760atm=1mmHg ?如压力>大气压力时,即用单位面积所受的重量表示,如?/?2 或psi(1b (磅)/in2(吋))。 一般电浆蚀刻机之压力‎‎为50millitorr, 0.5Torr。 一般使用之气瓶之压力‎‎约为500psi, 2000PSI。 144 REACTIVE ION 活性离子蚀刻 1. 定义: ETCHING(R.I.E.) 在电浆蚀刻时,电浆里包含了活性原 子、活性离子(正离子)及电子,当 压力较低(小于100mT)且气体两端所 加之电压购高时,活性离子即被迅速 加速冲向电极上‎‎之芯片,而撞击晶面上 暴露在电浆中的表层,将表层之原子 击出,再与活性原子反应因而造成蚀 刻,此类之蚀刻即称之为活性离子蚀 刻。目前我们已有的R.I.E蚀刻机台为 8110、8130、8330等。 145 RECIPE 程序 PECIPE在字典的解释是医生的处方、 厨师的食谱。在IC制程中则意指制‎‎程 的程序。IC制造中各个步骤都有不同 的要求:如温度要多少,某气体流量 多少,反应室的压力多少,等等甚多 的参数都是PECIPE内容的一部‎‎份。 146 REFLOW 回流 回流是IC制造中医种特殊技术。做法 是将磷或硼或两者合一,参入二氧化 硅中(常用CVD方式)。之后将芯片 推入高温炉管一段时间,该二氧化硅 层(PSG BPSG或BSG)即会『流 动』,使芯片表面变得较平坦。此即 回流平坦化技术。回流取该氧化层『重 新流动』之意。 147 REGISTRATION 注记差 1. 定义: ERROR IC芯片的两个层次之间,必须要正确 地叠在一起,此二层次图案完全正确‎‎ 对准之差距,即称为Registration Error。 148 RELIABILIT‎‎Y 可靠性 可靠性实在有很多方法来描述,但我 们指针对两个观点来讨论。一般来 说,可靠性就是客户对我们‎‎SMIC的产 品,再他们使用一段很长的时间之 后,仍能符合他们的信赖与期待。更 精确的描述就是我们‎‎SMIC的产品在我 们所要求的特殊环境的测试,经过一 段很长的时间之‎‎后,仍能确保IC功 能、函数的正常操作及称为可靠性合 格产品。 测试的项目很多,半总离不开电压、温度、湿度、机械应力及压力等。 149 REPEAT DEFEC‎‎T 重复性缺点 1. 定义: 重复性缺点系指同一芯片内每一个曝光区的相同位置均出现相同之缺‎‎点。 重复性缺点仅发生于Stepper曝光之产品。 重复性缺点所产生的现象可分为两‎‎种: A.光罩图案缺失:造成芯片图案缺失。 B.光罩表面或Pellicle表面污染:造成重复性显影不良。 重复性缺点对产品良率有很大的杀伤力,例如一个曝光区内有八个晶方,若有一个晶方图案有缺失,就会造成产品良率1/8之损失。因此重复性缺点是VLSI的头号杀手 150 RESISTIVIT‎‎Y 阻值 1. 定义: 物理学上定义阻值(Ω,即欧姆)为 R=?V/I在物体两截面上通以‎‎定电流V,量得电压降?V,则 ?V/I即为这物体的阻值。 但在半导体工业上,这样地易阻值并无太大实用价值。我们只关心芯片表面薄薄一层“动作区”的阻值。于是另外定义一“薄层阻值”,以四点针测的方法量取?V及I。 Rs=?V/I(Ω/?)定义为芯片的阻值。 151 RESOLUTION 解析力 1. 定义: 解析力在IC制程的对准及印刷(Align & Print)过程中站着相当重要的‎‎地位,尤其演进到VLSI后,解析力的要求就更高了。它是对光学系统(如对准机、显微镜、望远镜等)好坏的评估标准之一,现今多以法国人雷莱(Rayleigh)所制定的标准遵循之。 物面上两光点经光学系统头于成像面上不会模糊到只被看成一点时,物面上两点间之最短距离。若此距离越小,则解析力越大。(通常镜面大者,即NA大者,其解析力也越大)解析力不佳时,例如对准机对焦不清时‎‎,就会造成CD控制不良,Metal桥接, Contact瞎窗或开窗过大等‎‎。 152 RETICLE 光罩 为使IC各个线路在芯‎‎片上成形 (PATTERN),则必须有规范露光及 遮光区域(规范曝光成形)的赵子, 此称为光罩。 153 REWORK/SCRAP/修改 /报废/签过 修改:分ADI修改,AEI修改 WAIVE ADI修改:将光阻去除,重新上新光 阻,已定义新的或精确的图‎‎形。 AEI修改:将已沉积或氧化的厚厚或 薄层去除,重新沉积或氧化。 报废:芯片受污染或流程不合规范上 之规定,造成芯片有无良率之 可能,则停止流程不继续生产‎‎ 谓之。 签过:当芯片流程至某步骤时,发现图 形或规格不合于规范内之规定,但其 影响不致使芯片达报废之程度,可由 工程师签署,继续流程。 154 RUN IN/OUT 挤进/挤出 1. 定义: 对准不良的一种; 挤进(Run in):不管是在水平或垂直 方向,芯片中央附近对准良好,而两 边图案向中央挤进‎‎。 挤出(Run out):不管是在水平或垂 直方向,芯片中央附近对准良好‎‎, 而两边图案向中央挤出‎‎。 155 SCRUBBER 刷洗机 1. 在沉积或蚀刻制程之后‎‎常会有些微 尘落在芯片表面,此种P/D可刷洗 去除,避免对良率的伤害。 2. 依照膜的性质,及机台的特性不 同,通常我们有下列5种不同刷洗 方式: - 去离子水冲洗 - 毛刷刷洗 - 高压水刷洗 - 毛刷加高压水刷洗 - 芯片双面刷洗 156 SAD 缺陷分析软件 将每片晶圆及芯片上的缺陷送入计算(SOFTWARE 机中,利用缺陷分析软件,将缺陷分DEFECT 类,一便利统计及分析的工‎‎作。 目前89%微缩型产品分类如下: ANALYSIS) SBIT PSG PBTL CLTT OTHT PROW HROW SROW FROW 2ROW NROW OCL1 OCL2 QCL1 QCL2 HCL1 HCL2 OTCO WCL1 WCL2 YSEL NCOL LCIO BLK1 BLK2 BLK3 OTHR APEO RWCL 目前HYDRA产品分类如下: SBIT PBCT PBTL CLTT OTHT PRW1 PRW2 PRW3 FROW 2RW1 2RW2 NRW1 NRW2 OCL1 OCL2 QCL1 QCL2 HCL1 HCL2 WCL1 WCL2 YSEL NCOL APED RWCL BLK1 BLY2 BLK3 OTHR (以上均为分类时使用之表示名称) 157 SEM 电子显微镜 EM最常用之运作方式为发射电子‎‎束 (SCANNING 方式(EMISSIVE MODE),电子油灯 ELECTRON 丝放出,而由5~30KV之电压加速,再 MICROSCOPE) 经过电磁透镜使电子‎‎束聚集照射至试 片表面一般使通过。扫描线圈之电流同 时通过相对应之阴极射线管‎‎偏折电子 束,而在萤光幕上产生相似而较大之 扫描动作,达到放大之作用。扫描式 电子显微镜的解像能介于光学显微镜 与穿透式电子显微镜之间,可用于检 验固体试片,由于视野纵深长,可显 示清晰三度空间像‎‎。 158 SELECTIVIT‎‎Y 选择性 1. 定义: 两种材料,分别以相同的酸液或电‎‎浆 作蚀刻,其两种蚀刻率之比值谓‎‎之。 例如复晶电浆蚀刻: 对复晶之蚀刻率为2000Å/min 对氧化层之蚀刻率为200 Å/min 则复晶对氧化层之选择‎‎性:S S=2000Å/min/200 Å/min=10 选择性越高表示蚀刻特性越好。一般 干事实刻选择性较化学湿蚀刻为差, 吾人取较高的选择性之目的即在于电 浆蚀刻专心蚀刻该蚀‎‎刻之氧化层,而 不会商道上层光阻或下层氧化层,以 确保蚀刻之完整性。 159 SILICIDE 硅化物 一般称为硅化物(Silicide),指耐火金属(Refratory Metal)之硅化物,如钛(Ti)、钨(W)、钼(Mo)等与元素硅(Si)结合而成之化合物(TiSi2、Wsi、MoSi2)。 2 硅化物应用在组件之目的,主要为降低金属与硅接‎‎口]、闸极或晶体管串联之阻抗,以增加组件之性能。以钛之硅化物为例。 160 SILICIDE 金属硅化物 1. 定义: Silicide通常指金属硅化物,为金属与硅之化合物。 2. 目的: 在微电子工业硅晶集成电路中主要‎‎用为 2-1导体接触(Ohmic Contact) 2-2单向能阻接触(Schottky Barrier Contact) 2-3低阻闸极(Gate Electrode) 2-4组件间通路(Interconnect) 在VLSI(超大规模集成电路)时代中,接面深度及接口接触面积分别降 至次微米及1,2平方毫米,以往广泛应用为金属接‎‎触的Al,由于严重的川入半导体问题,在VLSI中不再适用。再加上其它技术及应用上的需求,金属硅化物在集成电路工业上日亦‎‎受到重视。 由于集成电路中之金属硅化物限于‎‎近贵重(Pt,Pd、Co、Ni、„)及高温金属(Ti、W、Mo、Ta)硅化物。 161 SILICON 硅 硅,SI(全文SILICON)为自然界元素之一种,意即我们所使用的硅芯片组成元素,再元素周期表中排行14,原子量28.09,以结晶状态存在(重复性单位细胞组成),每一单位细胞为由一个硅原子在中‎‎心与其它4个等为硅原子所组成之四面体(称为钻石结构)如图标中心原子以其4个外围共价电子与邻近之原子其原型或其价件‎‎之结合。硅元素之电子传导特性介于金属导体与绝缘体材料之间(故称为 半导体材料),人类可经由温度之变 化、能量之激发及杂质参入后改变其 传导特性,再配合了适当的制程步‎‎ 骤,便产生许多重要的电子组件,运 用在人类的日常生活‎‎中。 162 SILICON NITRI‎‎DE 氯化硅 氮化硅是SixNY的学名。这种材料跟 二氧化硅有甚多相似处。氮化硅通常 用低压化学气相沉积法或电浆化学气 相沉积法所生成。 前者所得之薄膜品质较‎‎佳,通常作IC 隔离氧化技术中的阻隔层,而后者品 质较差,但因其沉积时温度甚低‎‎可以 作IC完成主结构后的保护层‎‎。 163 SMS 半导体制造系统 此SMS – 半导体制造系统为德州‎‎仪器 公司(TI)为辅助半导体的生产制造而(SEMICODUCTO发展出的——计算机软件系统,其主R 要功能包含有: MANUFACTURING 1)制程变更控制 2)制程数据搜集与统计图表 SYSTEMS) 3)制程与操作规格制定 4)机台维护追踪 5)生产计划制定 6)线上统计报表 7)在制品操作与追踪 8)自动化系统接口 164 SOFT WARE, 软件 ,硬件 1. 定义: HARD WARE 大略而言,所谓硬件可泛指像PC -BOARD,机台外壳等一些零组件; 而软件一般指运用程序,指令一套完 整之控制系统,可经由程序、指令之修 改而修改,以人为例子,软件就好比 脑中之记忆、思想,可控制整个身体 各部分之动作,而硬件就好比人的 手、足、眼、耳等器官;由以上之比 喻,可知道软件、硬件是相辅相成,缺 一不可。 近来尚有一种介于Software、Hardware 之间,称为Firm-Ware,他的功用,, 就相当于把软件写入硬‎‎件(比如PRO M),以加快速度,因此软、硬件间的 区分也变得较不明显了。 165 S.O.G.(SPIN ON 旋制氧化硅 旋制氧化硅(Spin on Glass)是利用旋 制芯片,将含有硅化物之溶液均匀地GLASS) 平涂与芯片上,在利用加热方式与溶 剂驱离,并将固体硅化物硬化程稳定 之非晶相氧化硅。其简单流程如下:旋 转平涂?加热烧烤?高温硬化 (~450?) 旋制氧化硅是应用在组件制造中,金 属层间之平坦化(Planization)。以增 加层与层之间的结合特性,避免空洞 之形成及膜之‎‎剥裂。 166 S.O.J. 缩小型J形脚包因外脚弯成“J”字形,且外伸长度较(SMALL OUTLINE 一般I.C.为小儿得名。是记忆I.C.的普装IC J-LEAD 遍化包装形态,为配合表面粘着技术PACKAGE) 的高集积度要‎‎求而诞生。 167 SOLVENT 溶剂 1. 两种物质相互溶解成一种均匀的物‎‎ 质时,较少的物质被称为溶质,较多 的物质被称为溶剂。例如:堂溶解于 水中,变成糖水,则糖为溶质,水为 溶剂,缓和的结果称为溶液。 2. 溶剂分有机溶剂与无机‎‎溶剂两种: 2-1有机溶剂:分子内含有碳原子的 称为有机溶剂‎‎,例如丙酮 (CH3COCH3)、IPA(CH3CHOHCH3)。 2-2无机溶剂:分子内不含有碳原子的‎‎ 称为无机溶剂,例如硫酸(H2SO4), 氢氟酸(HF) 3. 在FIB内所通称的溶剂,一般是只 有机溶液而言‎‎。 168 SPECIFICAT‎‎ION规范 规范是公司标准化最重要的项目之‎‎(SPEC) 一,它规定了与生产有关事项的一切 细节,包括机台操作、洁净室、设 备、保养、材料、工具及配件、品管、 可靠性、测试…等等。 IC制造流程复杂。唯有把所有事项钜 细靡遗的规范清楚并确实遵照规范执 行,检讨规范是否合理可行,相关规 范是否有冲突,已达自主管理及全员参 与标准化之‎‎目的。 169 SPICE SPIC参数 1. 定义: PARAMETER SPICE是一个分析‎‎非线性DC、非线性 瞬间AC和线性AC行为的电路仿真程 序。其由各种不同的半导体组件模式 计算之,有DIODES、BJT’S、JFET’S、 MOSFET’S等,利用此种模式计算仿 真实际半导体电路的工作情形。而使 用于这些模型上的计算参数统‎‎称 「SPICE参数」。 目前由于公司使用之模‎‎式为HSPICE Level 2,故一般常说之SPICE参数, 即指Design Rules所提供之HSPICE Level 2中MOSFET所用到的参数。 170 S.R.A 展布电阻分析 在下列一些情况,可利用S.R.A.方法来 (SPREADING 得到其Resisitivity: ++(1) n on n layer, p on p layer RESISTENCE‎‎ (2) n on p layer, p on n layer ANALYSIS) (3) depth profiling (4) lateral profiling (5) very small areas 在测量Resistivity的方式有很多,但 若要降低校正,则一定要使用到Poi nt-Contact Probe的展布电阻‎‎。 171 SPUTTERING‎‎ 溅镀 溅镀乃是带能量的离子撞击物体,致 使表面的原子飞散出来,附着于基板 上形成薄膜之现象。当所加电流为直 流时,称为直流溅镀(D.C SPUTTERING):所加电流为射频 时,称为射频贱镀(RADIO FREQUENCY SPUTT‎‎ERING)。 基于经济及效率观点,氩气为最常使用 之气体。当氩气被快速电子碰撞时产 生氩离子,此时电子数目增加并且同 时受电场再加速,以便再次进行游离 反应,如此不去如同雪崩 (AVALANCHE)一样产生辉光放电 (GLOW DIS CHARGE),氩气离子 受阴极(靶材)吸引,加速碰撞靶 材,将表面原子打出而吸附‎‎在基本上。 由于溅镀有薄膜厚度容易控制、组织 均匀、表面相当平滑等优点,因此被 电子工业广泛地‎‎使用。 172 SSER 系统暂时性失效Soft Error为所有发挥性组件之共‎‎有特 (SYSTEM SOFT 比率测试 性。对DRAM而言,每记忆细胞 ERROR RATE (Memory Cell)所存电荷 (charge-to-sense)存在一刻开关的接TEST) 面(junction),以空乏(depleted)的状 态存在。当该细胞有高能粒子源‎‎(e.g. α-particle From moldi‎‎ng compound), 使所存电荷消失或减少到无法侦测‎‎ 时,该细胞便暂时消失。 173 STEP COVERAGE 阶梯覆盖 STEP COVERAGE』系冷指芯片上各层 次间各项薄膜、沉积材料等,当覆盖、跨越过底下层次时,由于底下层次高低起伏不一及有线条粗细变化,会造成此薄膜、沉积材料在产品部分 区域(如高低起伏交界处)覆盖度会变差,此变差的程度,即为『STEP COVERAGE』一般系以厚度变化比表‎‎示: STEP COVERAGE =厚度最薄处/厚度 最厚处 此比例越接近1越佳,反之越差,正常言均应达50,以上。 174 STEPPER 步进式对准机 1. 定义: Stepper(步进式对准机)系Step projection aligner 之简称。 Stepper与Project aligner原理类似,只是将每片芯片分为20~60次曝光完成。Stepper使用自动对准,不但迅速、精确,且可使用计算机计算、补偿。对准方式可分为Global、Die by Die、Advanced Globa‎‎l Alignment,此三种方式均可补偿因芯片形变造成之对准 不良(如Run in/Run out)。 Stepper亦可按缩影比例,分为1X、5X、 10X三种。以最常见之5X为例,光罩上一条5u之直线,曝在芯片上,仅1μ而已。 175 SURFACE STATES 表面状态 1.定义: 表面状态是介在Si-SiO2接口的政电荷 ,也叫做Interface State‎‎s。 形成表面状态的原因,是作氧化步骤时Si会从表面移去‎‎而与O2反应。当氧化停止时,有些离子Si会留在靠近接口处。这些为完全键结的Si离子会沿着表面形成一‎‎条正电荷QSS。电荷大小决定于下列因素:氧化速度、后续热处理步骤及Crystal Orientatio‎‎n。 在,111,表面,良好的氧化步骤下,其表面状态密度约为5×10 10 charges/?2(i.e.Qs s,5×1010q)。而对于,100,的表面状态密度约为,111,表面的1/3。 176 SWR(SPECIAL SWR为特殊工作要求单。生产线为了区划正常流程芯片和工程实验芯片,WORK REQUEST) 将工程师依规定申请实验的芯片批‎‎称 为SWR Lot,通常SWR Lot是用来解 决制程问题,或评估新机器、制程而试 作的芯片。 177 TARGET 靶 一般用在金属溅镀(SPUTTERING)也 就是以某种材料致造成各种形状,因 此『靶』当作金属薄膜溅镀之来‎‎源。 178 TDDB 介电质层崩贵的利用介电质崩溃时间(Time to (TIME 时间依存性 Breakdown)TBD与外加电场(电压)DEPENDENT 的线性模型,作加速测试(Accelerate‎‎d DIELECTRIC Test),对产品(介电质)寿命(Life Time)作一估算。 BREAKDOWN) – β Eox TBDαe……….(1) – β (Eext – Eop)AF = e ……(2) Life Time = T-50*AF…(3) 179 TECN 临时性制程变更随时工程变更通知(ECN)为工程师为(TEMPORARY 通知 了广泛收集资料,或暂时解决制程问ENGINEERING 题,而做的制程变更,此一临时性的 变更将注明有效期限,以利生产作业。 CHANGE NOTIC‎‎E) 180 TEOS 四乙基氧化硅 1. 化学式:Si (OC H),与常温下伟254(TETRAETHYL‎‎O业体态。 2. 用途:与经化学反应后,可生成一R THOSILICAT‎‎E) 层二氧化硅,在IC里通常被当作绝 缘层使用。 3. 反应方式:- 高温低压分解反应 - 高温加入触某媒分解 反应 - 电浆促进分解反应 181 THRESHOLD 临界电压 定义: VILTAGE 当我们在MOS晶体管之源极(Source) 和汲极(Drain)加一个固定偏压后, 再开始调整闸极(Gate)对基质 (Substrate)的电压,当闸极电压超 过某一个值之后,源极和汲极就会产 生电流而导通,则我们就称此时的闸 极电压称为临‎‎界电压(Threshold Voltage)。 NMOS晶体管的临界电压相对于‎‎基质 为正。 PMOS晶体管的临界电压相对于‎‎基质 为负。 一般在制程上我们会影响临界电压的 因素主要有二: A闸极氧化层厚度:Gate Oxide越厚, 则VT(绝对值)越高。 B基质渗杂的浓度:VT值入Dose越 高,则VT越高。 182 THROUGH PUT 产量 1. 定义: Through Put为单位工时之产出量,例 如某机器每小时生产‎‎100片,则称其 Through Put为100片/每小时。如果每 天运作21小时,则每天的Through Put 为2100片/天。 IC工业系许多昂贵且精密的设备投 资,故必须充分利用,维持生产的顺 畅,发挥其最大的效能。故高的Th rough Put为我们评估机器设备的一项‎‎ 很重要的因素之一。 除了设备上发挥其最大产能外,必须 要配合人为的力量:如流程安排、故障 排除、…等,亦即必须“人机一体”才 能发挥生产的整体效益,达到最高的 生产力。 183 TMP TI 记忆产品样在TI的产品出货控制‎‎(Productor (TI MEMORY Outgoing Control)中,以Qualification品(原型),TI (资格审定)为期里程碑: PROTOTYPE,内存标准产品 (1) Qual以前:均为TMP产品。 TMS-X TI (2) Qual以后:分为TMS-A,TMS-B,MEMORY TMS-C及Special,其可靠度保证。 STANDARD PRODUCT) 184 TOX 氧化层厚度 TOX系THICKNESS OF OXIDE之缩 写,即一般所谓氧化层厚度‎‎。 通常于氮化硅蚀刻、复晶及接触窗蚀 刻完,均需作TOX之测量。藉以确认 该层次蚀刻完是否有过蚀刻或蚀刻不‎‎ 足之现象。 185 TROUBLE 故障排除 1. 定义: SHOOTING 在生产过程,因为4M ,即设备、材 料、人为、方法等,造成之一切问题 而阻碍生产,例如:机器当机、制程异 常…等。工程人员解决以上发生的问 题,使这些“障碍”消弭于无形谓之 Trouble Shooting,故障排除。 186 UNDERCUT 底切度 1. 定义: 所谓“底切度”(Undercut),乃是蚀刻 时的专用术语‎‎,简单的说,Undercut便 是原来所定义出来的图形间偏离度的‎‎ 大小。 对于等向性蚀刻(Isotropic Etchi‎‎ng)Undercut较大,而对于完全非等向性 蚀刻(Full Anisotropic Etchi‎‎ng),其Undercut等于零,亦即能忠实地将原图形复制出来。 187 UNIFORMITY 均匀度 1. 定义: 均匀度Uniformity是一种测量值的平均分布。藉以表示芯片内各测量点的数值或是芯片与芯片间其测量‎‎值的变化。在IC制程中,常用以表示薄膜厚度,线宽(C.D)在整片芯片内或芯片 间的分布。其表示方法如下: 如测量芯片内上中下左‎‎右与5点数据,5点平均值。,,X1,X2+X3+X4+X5/5 均匀度Uniformity,X m a x,X m 1m/2X×100, 例如测量T0x厚度共五点分布如‎‎下: 510、525、540、515、520Å 则均匀度,540-510/2×522(平均值)×100,,2.8, 均匀度越小,表示各点变化越小。亦即 表示芯片制程品质较佳,也是制程能力越好的表现 188 VACUUM 真空 1. 定义:真空系针对大气而言一特定 空间内的部分气体被排出,其大气 小于一大气压。 表示真空的单位相当多,在大气的 情况下,通称为一大气压,也可表 示为760torr或760mmHg或 14.7psi。 真空技术中将真空一压力大小分为‎‎ 四个区域: A粗略真空(Rough Vacuum) B中度真空(Medium Vacuum) C高真空(High Vacuum) D超高真空(Ultra- High Vacuum) 2. 方法: 在不同真空,气体流动的形式与传 导性等均有所差异,,简略而言: 在粗略真空气体的流动称之为粘滞‎‎ 流(Viscous Flow)。其气体分子 间碰撞频繁,且运动具有方向性; 在高真空或超高真空范围,气体流 动称为分子流(Molecular Flow), 其气体分子间碰撞较少,且少于气 体与管壁碰撞的次数,气体分子运 动为随意方向,不受抽气方向影 响。在热导性方面:中度真空之压 力范围其与压力成正‎‎比关系,粗略 真空与高真空区域则无此关系‎‎。 189 VACUUM PUMP 真空帮浦 凡能将特定空间内的气体去除以减低气体分子数目,造成某种程度只真空 状态的机件,通称为真空帮浦。 目前生产机台所使用的真空帮浦可分为抽吸式:旋片帮浦(ROTARY PUMP)、鲁是帮浦(ROOTS PUMP),活塞帮浦(PISTON PUMP)、扩散帮浦(DIFFUSION PUMP)。储气式:冷冻帮浦(CRYO PUMP)、离子帮浦(ION PUMP)。 190 VERNIER 游标尺 1. 定义: 用来读取曝光制程中,本层次与前面层次之对准情形是否‎‎良好。 目前公司所用之游标尺,在读取之分辨率上可分为每格0.2μ及每格0.1μ者。目前只用在步进式对准机中以得 到更佳之分辨率。 游标尺之设计因人而异,因此在读取时是否方便、容易,端赖设计上之是否周详。 191 VIA CONTACT 连接窗 『VIA CONTACT』连接窗,系指相同两层材质之间‎‎,如POLY(一)与POLY(二)之间,METAL(一)与METAL(二)之间欲直接相联系时,必须在制程上挖出下层(如POLY(一),METAL(一)),窗来,让上层(如POLY(二),METAL(二)能与下层相通)此窗即为连接窗,一般此做法系为节省晶方面积而设计,但因多了一层的关系,制程上会较复杂,我们DOUBLE METAL或DOUBLE POLY 制程即为一例。 192 VISCOSITY 黏度 『粘度』一词专用于液体,意指当液体接受切应力时(指作用力方向与液体表面不垂直),液体就会产生变形,所以便定义『粘度』来表示液体 产生变形程度的大小。 粘度是可以调整的,因为液体受切应力而变形是巨观行为的表现,所以在液体完全兼容前提下,可以加入不同粘度的溶剂来调整粘‎‎度。 193 VLF 垂直流层 在流体的流动状态中,可分为层流 (VERTICAL (Laminar Flow)及齐流(Turbulent Flow)两种。一名叫Osborne ReynoldLAMINAR FLOW) 的人利用一简易的实验将其界定,而雷诺数即为层流及齐流的界定值。一般流体流速较快者其‎‎流线(streamiline)分子易受干扰,且雷诺数大易形成齐 流, 反之,则易形成层流。 (雷诺数 = 惯性力 / 粘滞力) 在无尘室芯片制造场所内,其气流为稳定之层流,如此可将人员、机台等所产生之微尘带离。若为齐流,则微尘将滞留不去。因此在无尘室内机台 的布置及人员的动作都以尽量不使空‎‎气流线产生齐流为原则‎‎。 194 WELL/TANK 井区 WELL即井区。在IC中的组件MOSFET(即金氧半场效晶体管),常作两型(N及P)相接的方式,即CMOS技术。此时为区分这两种不同型的MOSFET,就须先扩散两个不同型‎‎的区域于IC中。 此种区域即称为WELL区。 195 WLRC 晶圆层次(厂WLRC是取代“End-of-line-reliabilit‎‎y” (WAFER LEVEL 内)可靠度控制 的一种全新的可靠度监控方式,主要 RELIABILITY 分为物性(In-line Scrap),如厚度、材料、应力、接触窗覆盖率;另有电性CONTROL) (成品Scrap),如TDDB,CHC EM Stress等。兹比较如下: Charactori‎‎stic 1. 回馈(Feedback)时间 2. 真正原因的回馈性 3. Wafer Level Qual 与Desig‎‎n-in-Reliability的应用 4. 产品报废 5. 加速系数及准确性 WLRC 1. 快,使产品损失减到最低 2. 良好,能马上找出问题所在 3. 卓越 4. 较多 5. 高,较差 End-OF-Line-Reliabilit‎‎y 1. 慢,出问题时已大量产品被‎‎影响 2. 困难,因包装后产品的Data Association(资料联结性)已破 坏,不易找出真正原因。 3. 困难 4. 少 5. 低,高 196 WLQC(WAFER 晶圆层次(厂内)先定义: LEVEL QUALITY 品质控制 客户眼中的品质:产品有问题,就是品质不良 CONTROL ) 我们眼中的品质:出厂前看得到,量得到的问题,才是品质(Quality) 我们眼中的可靠度:出厂前看不到,又不能直接量得到的问题,在客户手中欲发生问题‎‎,是可靠度(Reliabilit‎‎y) 所以,WLQC是针对一切厂内可直接 测之(time-zero measuremen‎‎t),对品质有所影响的参数进行筛选及‎‎分类。对外,使出货品质分布集中、均匀(假设某可靠特性不变)。对内,回馈厂内,增进制造品质。 197 X-RAY X光微影技术 1. 定义: LITHOGRAPHY 在次微米微影成像技术‎‎中,X-射线微影技术备受瞩目‎‎。由于X-射线之波长甚短(约4~10Å),故可得甚佳之解析力,同时亦无干涉及绕射现象,因此可制作次微米线宽‎‎之IC图案。这种以X-射线为曝光光源之微影技术目前仍‎‎在开发中。由于X-射线穿透力甚强,,其光照图案不再是铬膜,而是一般大都为“金”。 198 YELLOW ROOM 黄光室 黄光室(Yellow Room)就是所有光源(照明用)均为黄色光波波长者之‎‎区域。由于IC晶方内之图案均有赖光阻‎‎剂(Photo resist)覆盖在芯片上,再经曝光,显影而定型;而此光阻剂遇光线照射,尤其是紫外线(UV)即有曝光之效果,因此在显影完毕以前之生产,均宜远离此类光源。黄光之光波较长,使光阻剂曝光之效果很低,因此乃作为显影前之照‎‎明光源。
/
本文档为【半导体行业专业英语名词解释】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索