为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

实验一、TTL、CMOS集成门电路参数测试

2017-10-10 50页 doc 1MB 396阅读

用户头像

is_037433

暂无简介

举报
实验一、TTL、CMOS集成门电路参数测试实验一、TTL、CMOS集成门电路参数测试 实验一:门电路实验 一、实验目的: 1. 熟悉集成电路外形及管脚引线排列。 2. 理解TTL、CMOS集成电路参数的物理意义。 3. 掌握TTL、CMOS集成电路参数的测试方法。 4. 熟悉掌握TS实验系统的基本功能和使用方法。 二、实验原理: TTL、CMOS集成电路的参数是对器件本身特性的一种定量描述。这些参数应包括逻辑功能的正确与否,性能优劣及可靠性水平等。通常按这些参数的时间特性又分为静态参数和动态参数两种。静态参数指电路处于稳定的逻辑状态下测得的参数,动态参...
实验一、TTL、CMOS集成门电路参数测试
实验一、TTL、CMOS集成门电路参数测试 实验一:门电路实验 一、实验目的: 1. 熟悉集成电路外形及管脚引线排列。 2. 理解TTL、CMOS集成电路参数的物理意义。 3. 掌握TTL、CMOS集成电路参数的测试。 4. 熟悉掌握TS实验系统的基本功能和使用方法。 二、实验原理: TTL、CMOS集成电路的参数是对器件本身特性的一种定量描述。这些参数应包括逻辑功能的正确与否,性能优劣及可靠性水平等。通常按这些参数的时间特性又分为静态参数和动态参数两种。静态参数指电路处于稳定的逻辑状态下测得的参数,动态参数则指逻辑状态转换过程与时间有关的参数。静态参数和动态参数很多,下面仅以门电路为例说明其主要参数的意义和测试方法。这些参数的意义和测试方法也适应其它各种类型的TTL、CMOS集成电路。 三、实验内容: V-V=0.4V时的最低输入高电平(开门电平 V=1.25V) ONSLON V-V=2.4V时的最高输入低电平(关门电平 V=1.0V) OFFSHOFF TTL: II I I I V V V V P N P (iLCCLCCHiHoLONOFFOHOLONOOFF0.75m0.2150.26m1.02-0.8-3.75m1.1m?0 12mA 4.6V 0.4V 46 A mA A 1.15V 1V w w I12mAOLN,,,46 OI0.26mAiL 1 CMOS: I V V I I V V I I DDOHOLOHOLiHiLiHiL 0.2-0.8-1.36-4.95-5V =0V 2.68V 2.8V =0 =0 0.26mA 3.4mA 3.42mA 注:?I——测此电流时,万用表指针不断地晃动; DD ?I——0.8mA时电压为4.5V;3.4mA时(R=1kΩ); OHL ?IOL——1.2~1.36mA时(R=10kΩ);3.42mA(R=1kΩ)R两端的电压为LLL1.6V。 电压传输特性:测试电路按图1.5连接。接表1.3所列各输入电压值逐蹼进行测量,各输入电压值通过调节电位器R取得。将测试结果列表W 记录,并根据实测数据,作出电压传输特性曲线,然后从曲线上读出V、V、V、V、V及V、V等参数。 oHoLonoffTNHNL 传输特性曲线所测数据:(列表) V(V) 0.3V 0.5V 0.7V 0.9V 1.0V 1.1V 1.2V 1.3V 1.4V 1.5V 1.6V 1.7V 1.9V 2.4V 1 0.180.18V(V) 4.4V 4.4V 4.4V 3.8V 2.8V 0.18V 0.18V 0.18V 0.18V0 0.18V 0.18V 0.18V 0V v 注:L(空出截止电流) CCH 0.63mA(74LSOO的剩余3个与非门的输入端悬空), ,0.215mA(74LSOO的剩余3个与非门的输入端全接地), P,P ONOFF [P——空出导通功耗=(V、I)=5×0.75=3.75mW] ONCCCCL [P——空出截止功耗=(V、I)=5×0.215=1.075mW] OFFCCCCH 2 实验二:组合逻辑电路的 一、实验目的 1、熟练掌握组合逻辑电路的分析、设计与测试的基本方法,常用集成 组合逻辑器件的逻辑功能以及使用方法。 2、理解常用集成组合逻辑器件的工作原理。 3、了解组合逻辑电路中的竞争与冒险。 二、实验原理: 常用的基本门电路有“与”门,“与?非”门、“或?非”门、“异?或”门、“异?或?非”门,而与非门是最常用的门电路,规格种类最齐全(价格也便宜),我们可以利用摩根定律对函数表达式进行化简 F,ABF,A,BAB,A,B且进行变换,例如:与非门可等效成,即,所以摩根定律可以帮助我们完成逻辑函数之间的种种变换。 三、实验内容: 1、试用逻辑门设计一个血型关系检测电路,用以检测输血者与受血者之间的关系。 人类有O、A、B、AB四种基本血型,输血者与受血者的血型必须符合下列原则:O型血可以输给任意血型的人,但O型血的人只能接受O型血;A型血能输给A型和AB型血的人,而A型血的人只能接受A型和O型血;B型血能输给B型和AB型血的人, 而B型血的人只能接受B型和O型血;AB型血只能输给AB血型的人,但AB血型的人可以接受所有血型的血。(提示:用变量A、B的四种组合来表示输血者的O型、A型、B型、AB型四种血型,用变量C、D的四种组合来表示受血者O型、A型、B型、AB型的四种血型。) 输血者与受血者关系示意图 3 1:用四位二进制数代表4种血型。 输血者 受血者 G 3 G2 G1 G 0 血型 R 3 R2 R1 R 0 血型 1 0 0 0 A型 1 0 0 0 A型 0 1 0 0 B型 0 1 0 0 B型 0 0 1 0 AB型 0 0 1 0 AB型 0 0 0 1 O型 0 0 0 1 O型 四位二进制数输血者和受血者真值表 G 3 G2 G1 G 0 R 3 R2 R1 R 0 结果S 1 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 1 1 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 1 0 1 0 0 0 0 1 0 1 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 0 0 1 1 0 0 0 1 1 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 0 1 0 0 1 0 1 0 0 0 1 0 0 0 1 1 S,GGGGRRRR,GGGGRRRR,RRRR,GGGG210310320210310321332210 这个方案共有8个输入和一个输出,需要2个八输入与门、2个四输入与门和一个四输入或门实现。 4 方案2:用二位二进制数代表4种血型。 输血者 受血者 A B 血型 C D 血型 0 0 0 0 0 0 0 1 A 0 1 A 1 0 B 1 0 B 1 1 AB 1 1 AB 二位二进制数输血者和受血者真值表 输血者血型 受血者血型 关 系 A B C D F 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 0 1 0 0 0 0 1 0 1 1 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1 5 卡诺图如下: 函数表达式: F,AB,AD,CD,CB,AB,AD,CB,CD,AB,AD,CB,CD 用与非门实现电路图: 这个方案共有4个输入和一个输出,需要4个2输入与门和一个四输入或门实现。 方案3:此如果从卡诺图上圈0 6 可写出其反函数的逻辑表达式 F,BD,AC F,BD,AC,AC,BD 用与非门实现的电路图: 这个方案共有4个输入和一个输出,需要2个二输入与门和一个二输入或门实现。由此可见次方案是最简单的一种方案。 从这个题目我们可以看出来,在实际工程设计的时候不能忽略编码的问题。随意取一个编码方案进行逻辑设计,这样或许也能完成设计,但最终完成的设计未必是最佳的设计。 7 2、试用逻辑门设计一个四人表决电路。即三人以上(包括三人)表决有 效。 真值表 A B C D F 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 卡诺图如下: 函数表达式: F,ABD,BCD,ACD,ABC,ABD,BCD,ACD,ABC 8 用与非门实现电路图: 3、试用逻辑门设计一个判断三台仪器设备的工作状态。即只有一台设备出现故障时,则第一个故障指示灯闪烁报警;若两台设备出现故障时,则第二个故障指示灯闪烁报警;当三台设备都出现故障时,则二个故障指示灯都闪烁报警。 真值表 A B C L1 L2 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 9 L1卡诺图如下: 函数表达式: L1,ABC,ABC,ABC,ABC,ABC,ABC,ABC,ABC L2卡诺图如下: 函数表达式: L2,AB,BC,AC,AB,BC,AC 电路图: 10 *4、用TTL与非门和异或门构成全加器: S,A,B,C? iiii,1 C,(A,B),C,AB,(A,B),C,AB iiii,1iiiii,1ii 功能表 CSii ABCC Si iii-1i 0 0 0 0 0 ,, 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 Ci,11 1 1 1 1 ABii ? 用两输入端与非门构成的全加器: S,(A,B),Ciiii,1 ,(A,B),C,AB C,(A,B),C,ABiii,1iiiiii,1ii 11 ,S,ABC,ABC,ABC,ABC,ABC,ABC,ABC,iiiiiiiiiiiiiiii,1iii,1iii,1,1,1,1,1 ,,C,AB,BC,AC,AB,BC,ACiiiii,1ii,1iiii,1ii,1, ,S,C(AB,AB),C(AB,AB),C(A,B),C(A,B),ii,1iiiii,1iiiii,1iii,1ii ,,,C(A,B),C(A,B),C,(A,B)i,1iii,1iii,1ii, 采用包围0的方法进行化简得: ,S,ABC,ABC,ABC,ABCiiii,1iii,1iii,1iii,1,,S,A,BC,ABC,ABC,ABC,ABC,ABC,ABC,ABC,iiii,1iii,1iii,1iii,1iii,1iii,1iii,1iii,1 ,C,AB,BC,AC,iiiii,1ii,1,,C,AB,BC,AC,AB,BC,ACiiiii,1ii,1iiii,1ii,1, 二位全加器: 12 实验三:译码器、显示器及其应用 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理、方法和手段 1、译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器的数字系统中有广泛的用途,不仅用于代码的转换,终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 2、译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 (1)变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线 n-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2个不同 n的组合状态,就有2个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,图3.1(a)、(b)分别为其逻辑图及引脚排列。 图3.1 3-8译码器74ls138引脚排列 其中A、A、A为地址输入端,Y,Y是译码输出端,S、S、S是使21007123能端。 表3.1为74LS138功能表,当S=1,S+S=0时,器件使能,地址码所指123 定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当 13 S=0,S+S=X时或S=X,S+S=1时,译码器被禁止,所有输出同时为123123 。 1 表3.1 输 入 输 出 S S+SAAAYYYYYYYY12 3210012345671 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 0 0 1 1 1 1 1 1 1 1 1 1 0 0 × × × × 1 1 1 1 1 1 1 1 × 1 × × × 1 1 1 1 1 1 1 1 二进制译码实际上了是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图3.2所示。若在S输入端输入数据信息,S=S=0,地址码所123 对应的输出是S数据信息的反码;若从S输入端输入数据信息,令12 S=1,S=0,地址码所对应的输出就是S端数据信息的原码。若数据信息132 是时针脉冲,则数据分配器便成为时针脉冲分配器。 14 图3.2 作数据分配器 图3.3实现逻辑函数 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图3.3所示,实现的逻辑函数是Z=ABC+ABC+ABC+ABC 利用使能方便地将两个3/8译码器组合成一个4/16译码器,如图3.4所示。 图3.4 用两片74LS138组合成4/16译码器 15 (2)二—十进制译码器CC4028等 它能将输入的4位二进制数表示的二—十进制数译成十进制数,其逻辑 图及引脚功能如图3.5所示。 图3.5 CC4028逻辑图及引脚功能 其中AAAA是地址输入端,Y,Y是译码输出端,由逻辑图可知,321009 CC4028的输出能拒绝伪码,当输入为1010,1111时,所有输出全为1。 此外,CC4028没有使能端,因此不能作多路分配器使用。但若用AAA作地址输入端,Y、Y闲置不用,A可以作为使能端作用,此时210893 的CC4028变成了3/8译码器,A的选通功能与74LS138的S、S相同,323为低电平使能。所以CC4028不仅可作为一般译码器使用,也可以作多路分配器使用和实现逻辑函数多种功能。 (a)共阴连接(“1”电平驱动) (b)共阳连接(“0”电平驱动) 16 ( C )符号及引脚功能 图3.6 LED数码管 (3)数码显示译码器 a. 七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示品,图3.6(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0,9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、黄、橙色)的颜色不同略有差别,通常约为2,2.5V,每个发光二级管的点亮电流在5,10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 b. BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用CC4511 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管,图3.7为CC4511引脚图。 CC4511内接有上拉电阻,故只需在输出端与数码管笔段之间串入限流电阻即可工作。译码器还有拒伪码功能,当输入码超过1001时,输出全为0,数码管熄灭。 图3.7 CC4511引脚图 (其中A、B、C、D——BCD码输入端 a、b、c、6、d、e、f、g——译码输出端,输出“1”有效,用来驱动共阴极LED数码管。 17 LT——测试输入端,LT=“0”时,译码输出全为“1” 0”时,译码输出全为“0” BI——消隐输入端,BI=“ LE——锁定端,LE=“1”时译码器处于锁下(保持)状态,译码输出保持在LE=0时的数值,LE=0为正常译码) 表3.2为CC4511功能表。 表3.2 输 入 输 出 LE BI LT D C B A a b c d e f g 显示 字形 × × 0 × × × × 1 1 1 1 1 1 1 8 × 0 1 × × × × 1 0 0 0 0 0 0 消隐 0 1 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 0 0 0 1 0 1 1 0 0 0 0 1 0 1 1 0 0 1 0 1 1 0 1 1 0 1 2 0 1 1 0 0 1 1 1 1 0 1 1 0 1 3 0 1 1 0 1 0 0 0 1 1 0 0 1 1 4 0 1 1 0 1 0 1 1 0 1 1 0 1 1 5 0 1 1 0 1 1 0 0 0 1 1 1 1 1 6 0 1 1 0 1 1 1 1 1 1 0 0 0 0 7 0 1 1 1 0 0 0 1 1 1 1 1 1 1 8 0 1 1 1 0 0 1 0 0 0 0 0 0 0 9 0 1 1 1 0 1 1 0 0 0 0 0 0 0 消隐 0 1 1 1 1 1 0 0 0 0 0 0 0 0 消隐 0 1 1 1 1 0 1 0 0 0 0 0 0 0 消隐 0 1 1 1 1 0 0 0 0 0 0 0 0 0 消隐 0 1 1 1 1 1 1 0 0 0 0 0 0 0 消隐 1 1 1 × × × × 0 0 0 0 0 0 0 消隐 18 在本数字电1路实验箱上已完成了译码器CC4511和数码管BS202之间的连接。实验时,只要接通+5V电源和将十进制数的BCD码接至译码器的相应输入端A、B、C、D即可显示0,9的数字。四位数码管可接受四组BCD码输入。CC4511与LED数码管的连接如图3.8所示。 图3.8 CC4511驱动一位LED数码管 三、实验内容 1、数据拨码开关的使用。 将实验箱中的拨码开关的输出Ai、Bi、Ci、Di分别接至显示译码/驱动器CC4511的对应输入口,LE、BI、LT接至三个逻辑开关的输出插口,接上+5V显示器的电源,然后按功能表输入的要求揿动拨码开关的增减键(“+”“-”键)和操作三个开关,观测码盘上的数与LED数码管显示的对应数字是否一致,及译码显示是否正常。 2、74LS138译码器逻辑功能测试 19 将译码器使能端S、S、S及地址端A、A、A分别接至逻辑电平123210 开关输出口,八个输出端Y„Y依次连接在0-1指示器的八个输入口70 上,拨动逻辑电平开关,按表5.1逐项测试74LS138的逻辑功能。 输 入 输 出 S S+SAAAYYYYYYYY12 3210012345671 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 0 0 1 1 1 1 1 1 1 1 1 1 0 0 × × × × 1 1 1 1 1 1 1 1 × 1 × × × 1 1 1 1 1 1 1 1 3、用73LS138构成时序脉冲分配器 参照图3.2和实验原理说明,时针脉冲CP频率约为10KHz,要求分配器输出端Y,Y的信号与CP输入信号同相。 07 画分配器的实验电路,用示波器观察和记录在地址端AAA分别取210000,111 8种不同状态时Y,Y端的输出波形,注意输出波形与CP输07 入波形之间的相位关系。 20 4、用两片74LS138组合成一个四线—十六线译码器,并进行实验。 21 实验四:集成触发器及其时序逻辑电路 一、实验目的: 1. 掌握触发器的两个基本性质——两个稳态和触发翻转。 2. 掌握触发器的分类——基本触发器和时钟触发器。 3. 掌握基本触发器的电路组成形式及其功能。 4. 掌握时钟触发器的逻辑功能和触发方式。 了解时钟触发器不同逻辑功能之间的相互转换。 5. 二、实验原理: 触发器是具有记忆功能的基本单元,在时序电路中是必不可少的。触发器具有两个基本性质:(1)在一定条件下,触发器可以维持在两种稳态(0或1)之一而保持不变;(2)在一定的外加信号作用下,触发器可以从一种状态转变为另一稳定状态(1?0或0?1),因此,触发器可记忆二进制的0或1,被用作二进制的存贮单元。触发器可以根据时钟脉冲输入分为两大类:一类是没有时钟输入端的触发器称为基本触发器;另一类是有时钟脉冲输入端的触发器,称为时钟触发器。 三、实验内容: 1、基本触发器 由或非门(74LS02)组成基本型触发器,画出电路图并完成下列表 QQQS R Q 0 0 不 变 0 1 0 + + 0 0 1 不 定 S R 22 2、CD4027 JK触发器的测试结果 本级 下一级 输输入 输出 CL 出 J K S R Q Q Q , 1 0 0 0 1 0 , 0 0 0 1 1 0 , 0 0 0 0 0 1 , 1 0 0 1 0 1 不,,, 0 0 Q Q 变 ,,,, 1 0 1 0 ,,,, 0 1 0 1 ,,,, 1 1 1 1 注:本级为CL作用前的状态,下一级为CL作用后的输出状态。 *CL的上升沿翻转且Q与J相同;CL的下降沿输出Q保持不变。 Q *当R=S=1时,Q==1 3、 D触发器逻辑功能的测试(CD4013) CD 4013 D触发器测试结果 INPUT OUT CL D R S Q Q 0 0 0 0 1 1 0 0 1 0 , 0 0 Q 不变 Q ,, 1 0 0 1 ,, 0 1 1 0 ,, 1 1 1 1 *CL的上升沿翻转,且Q与D相同;CL的下降沿输出Q保持不变。 *当R=S=1时,Q==1 Q ? D触发器(4013)的应用 (1) 多地控制电灯开关电路 23 A点脉冲产生的原因:当按动AN时,由于D端接电源(+6V)可视为“1”,则Q端为“1”态,由于2MΩ和1μF电容充电使R端的电位不断上升,当R为“1”时,则将D触发器清零,即Q=0(VA=0)所以每按动一次AN则在A点产生脉冲。 状 V(V)V(V)AR态 初 始 0V 0V 状 态 按 动 0?3.4V0?6V? 0 按?0 钮 出现的问题:当用MF-10的万用表(直流电压档)测量1μF电容两端电压时,用不同的档会测出不同的值(误差很大),其原因是MF-10表的精度闸(精度不高)所造成的。(即用1V档,2.5V档和10V去测1μF两端电压时,指针的偏转角度几乎相同)此脉冲加在第二个D触发器的CP端,由于第二个D触发器的D与相连(即为计数状态),所以每按Q 动一下按钮则D触发器翻转一次,如带上驱动电路,当Q=1时,继电器吸合,反之释放。如此,可由继电器的常开点去控制用电器的得电和断电。 (2)定时控制器 初始状态:刚接通电源时,D触发器的状态为1(即Q=1,=0)故DQ1(二极管)截止。电源通过2M,和33K,的电位器和电阻向220WF? R,3.4V的电容充电,到其两端电压为3.4V左右时(清除端(“1”去)),则D触发器清零,即Q=0,=1,则D导通。同时C(220μQ11F)放电即R由“1”(3.4V)?“0”(稳态,R=0,S=0,Q=0, S=1)。当按动一下按钮时,端由“0”?“1”?“0”,S为“1”时Q 为置数状态即Q=1,=0,D截止。此时R端与S端皆为“0”态,故Q1 24 保持Q=1,=0的状态,由于D截止则电源通过电位器和电阻向220μQ1 F电容充电,直至R端的电压为3.4V左右时,即R=“1”,D触发器被 清零,即Q=0,=1。同时放掉电容C=220μF的电,使R=0,则又回到Q 初始状态,即R=0,S=0,Q=0,=1。 Q 延时时间t:即为电容C(220μF)两端电压由接近0V充至3.4V左右时 所需的时间。 按动AN前的状态:,(电源电压),,V,0VV,,6VV,0.1VQRQ 。 V,0VS 按动AN后的状态:,V,0,6V,V,6,0VQQ ,。 V,6,0VV,0.2,3.4VSR ,V,6V,0V,6V V,0V,6V,0VQQ , V,0V,6V,0VV,0.2V,(2.8~3.4V),0.2VSR V(V) V(V) V(V)AN V(V)QQSR 未 0.1V 按0V 0V +6V 动 按0.2?3.40?6?0 0?6?0 6?0?6 动 ?0.2 多地: VVAR 0V 初 0V 0?3.4?动 0?6?0 0 25 实验五: 集成计数器及其应用与设计 一、实验目的: 1. 掌握二进制、BCD码计数的工作原理。 2. 掌握用基本计数器构成的任意进制计数器的设计方法。 3. 熟悉计数器的应用。 二、实验原理: 所谓计数,就是统计脉冲的个数,计数器就是实现累计和寄存输入脉冲个数的时序逻辑部件。计数器的应用极其广泛,不仅用来计数,也可以用作分频、定时等。计数器种类繁多。根据计数体制的不同,计数器 n可分为二进制(即2进制)和非二进制两大类。在非二进制计数器中,最常用的是十进制计数器,其余一般称为任意进制计数器,根据计数器的增减趋势不同,计数器可分为加法计数器;减法计数器,可逆计数器。根据计数脉冲引入方式不同,计数器又可分为同步计数器(即计数脉冲直接加到所有触发器的CP端)和异步计数器(即:计数脉冲不直接加到所有触发器的CP端)。 三、实验内容: 1、用集成触发器74LS112(或CC4027)设计异步二进制加法计数器。 方案1:用74LS112构成的异步二进制加法计数器的电路图如下: 状态图如下: 26 各触发器Q的波形图: 方案2:用CC4027构成的异步二进制加法计数器的电路图如下: 状态图如下: 各触发器Q的波形图: 27 2、用集成触发器74LS112(或CC4027)设计异步二进制减法计数器。 方案1:用74LS112构成的异步二进制减法计数器的电路图如下: 状态图如下: 各触发器Q的波形图: 方案2:用CC4027构成的异步二进制减法计数器的电路图如下: 28 状态图如下: 各触发器Q的波形图: 3、在实际应用中,往往需要不同的计数进制满足各种不同的要求,如电子钟里需要六十进制,二十四进制,日常生活中的十进制等等。用74LS74(双D触发器)设计4位异步二进制加法计数器。 用74LS74(双D触发器)构成的4位异步二进制加法计数器电路如下: 状态图如下: 29 各触发器Q的波形图: 4、在实际工程应用中,很少使用小规模的触发器去拼接各种计数器,而是直接选用集成计数器,例如74LS160、74LS163。 (1)用74LS160和与非门设计三进制、六进制计数器。 30 74LS160和与非门构成三进制计数器 74LS160和与非门构成六进制计数器 (2)用2块74LS163设计二十四进制计数器。 方案1: 方案2: 31 实验六:多谐振荡器 一、实验目的: 1. 掌握TTL与非门多谐振荡器的电路及工作原理。 2. 熟悉单稳态触发器,史密特触发器的工作原理。 3. 熟悉石英晶体振荡器及其分频电路。 二、实验原理: 在数字系统中,常需要各种不同频率的脉冲信号或者需要一定宽度和幅度的脉冲信号,来完成各种不同的控制要求。于是,如何获得各种不同频率的脉冲和不同幅度的脉冲呢,通常有两种方法可达到这样的要求:一是自激的脉冲振荡器,它们不需要外界的输入信号,只需加上直流电源,就可自动地产生矩形脉冲。另一种是脉冲整形电路,它们不能自动产生脉冲信号,但可以把其它形状的信号(包括正弦波信号或脉冲信号)变换成短形脉冲波。 在脉冲振荡器中,常用电路组成多谐振荡器、环形振荡器和石英振荡器。 在脉冲整形电路中,主要有单稳态触发器和史密特触发器。 三、实验内容: 1、设计一个f=40KHZ,幅度为3.5V~4.5V的矩形波信号产生电路,并用示波器观察并记录各点的波形。要求用三种以上方法实现。 a、非对称型多谐振荡器。用示波器观察并记录输出波形的幅度以及充、放电时间。 32 b、对称型多谐振荡器。画出实验电路,用示波器观察并记录输出波形的幅度以及充、放电时间。 c、的环形振荡器。画出实验电路,用示波器观察并记录振荡器各点波形幅度、相位以及输出波形的充、放电时间。 可调环形振荡器的振荡周期T(T=2.2RC)(R指R的值,不包括RWS(200Ω))。 C=0.1μF,R=4.7KΩ: W 3-6-?理论值:T=2.3×4.7KΩ×0.1μf=2.3×4.7×10×0.1×10=1081×10 16(s)=1.081ms;(V02与4.7K电位器间未加150Ω电f,,925Hz1.081ms 阻)。 ?实测值:T=1.1ms;f=909Hz(未加150Ω电阻) C=0.1μfRW取最小值: 33 -6-6?理论值:T=2.3R×0.1μf=2.3×100Ω×0.1×10=23×10(s)=23μO 1s;=43.478KHz(未加150Ω电阻) f,,43478Hz,23s ?实测值:T=20μs;f=48KHz(未加150Ω电阻) C=100μf,R=4.7KΩ: W 3-6?理论值:T=2.3×4.7KΩ×10×100μf×10=1.081s;f=0.925Hz(未加 150Ω电阻) f=0.83Hz(未加150Ω电阻) ?实测值:T=1.2s; C=100μf,RW取最小值: ?理论值:T=23ms;f=44Hz(未加150Ω电阻) ?实测值:T=19ms;f=53Hz(未加150Ω电阻) 总之当C一定的前提下,增大R则输出波形的T增大,而降低f;减小W R则输出波形的T减小,而增大f。 W d、晶体振荡器。 34 实验七:单稳态触发器与施密特触发器及其应用 一、实验目的 1、掌握使用集成门电路构成单稳态触发器的基本方法 2、熟悉集成单稳态触发器的逻辑功能及其使用方法 3、熟悉集成施密特触发器的性能及其应用 二、实验原理、方法和手段 在数字电路中常使用矩形脉冲作为信号,进行信息传递,或作为时钟信号用来控制和驱动电路,使各部分协调动作。实验十三是自激多谐振荡器,它是不需要外加信号触发的矩形波发生器。另一类是他激多谐振荡器,有单稳态触发器,它需要在外加触发信号的作用下输出具有一定宽度的矩形脉冲波;有施密特触发器(整形电路),它对外加输入的正弦波等波形进行整形,使电路输出矩形脉冲波。 1、用与非门组成单稳态触发器 利用与非门作开关,依靠定时元件RC电路的充放电来控制与非门的启闭。单稳态电路有微分型与积分型两大类,这两类触发器对触发脉冲的极性与宽度有不同的要求。 (1)微分型单稳态触发器如图7.1所示,其中R、C构成输入端微分隔11 直电路。R、C构成微分型定时电路,定时元件R、C的取值不同,输出脉宽t也不同。t?(0.7,1.3)RC。该电路为负脉冲触发,适用于触发脉WP 冲宽度小于输出脉冲宽的情况。稳态时G导通,G截止(G仅起整形倒123相作用)。V负极性时,V??V?,由于电容端电压不能跃变,故ViABD??V?,该低电平使V高电平得以维持,电路进入暂稳态,此时电容EB C充电,随着I??V?,当V=V时,电路又翻转成G导通,G截充DDT12止的稳定状态。若V的脉宽较小时,则输入端就不必加RC微分电路i11了。 (2)积分型单稳态触发器如图7.2所示。电路采用正脉冲触发,适用于触发脉冲宽度大于输出脉冲宽的情况,其工作波形如图7.3所示。电路的稳定条件是R?1kΩ,输出脉冲宽度t?1.1RC。 W 35 图7.1微分型单稳态触发器 图7.2 积分型单稳态触发器 图7.3 积分型单稳工作波形图 单稳态触发器共同特点是:触发脉冲未加入前,电路处于稳态。此时,可以测得各门的输入和输出电位。触发脉冲加入后,电路立刻进入暂 36 稳态,暂稳态的时间,即输出脉冲的宽度t只取决于RC数值的大小,W 与触发脉冲无关。 2、用与非门组成施密特触发器 施密特触发器能对弦波、三角波等信号进行整形,并输出矩形波,图7.4(a)、(b)是两种典型的电路。(a)图中,门G、G是基本RS触发器,门12 G是反相器,二极管D起电平偏移作用,以产生回差电压,其工作情况3 如下:设V=0,G截止,R=1,S=0,Q=1,Q=0,电路处于原态。V由i3i0V上升到电路的接通电位V时,G导通,R=0,S=1,触发器翻转为T3 Q=0,Q=1的新状态。此后V继续上升,电路状态不变。当V由最大值ii下降到V值的时间内,R仍等于0,S=1,电路状态也不变。当V?VTiT时,G由导通变为截止,而V=V+V为高电平,因而R=1,S=1,触发3STD 器状态仍保持。只有V降至使V=V时,电路才翻回到Q=1,Q=0的原iST 态。电路的回差?V=V。图7.4(b)是由电阻R1、R2产生回差的电路 D 3、集成双单稳态触发器CC14528(CC4098)及其应用 (1)图7.5为CC14528(CC4098)的逻辑符号图及其功能真值表 (a) 由二极管D产生回差的电路 (b) 由电阻R1、R2产生回差电路 图7.4 与非门组成施密特触发器 37 该器件能提供稳定的单脉冲,脉宽由外部电阻R和外部电容C决定,XX调整R和C可使Q端和Q端输出脉冲宽度有一个较宽的范围。本器件XX 可采用上升沿触发(+TR)也可用下降沿触发(-TR),为使用带来很大的方便。在正常工作时,电路应由每一个新脉冲去触发。当采用上升沿触发时,为防止重复触发,Q必须连到(-TR)端。同样,在使用下降沿触发时,Q端必须连到(+TR)端。 该单稳态触发器的时间周期约为T=R?C XXX 所有的输出级都有缓冲级,以提供较大的驱动电流。 (2)应用举例 a. 实现脉冲延迟,如图7.6所示。 图7.6 实现脉冲延迟 b. 实现多谐振荡器,如图7.7所示。 图7.7 实现多谐振荡 38 、集成六施密特触发器CC40106及其应用 4 如图7.8为逻辑符号及引脚功能,它可用于波形的整形,也可作反相器或构成单稳态触发器和多谐振荡器。 图7.8 CC40106引脚功能 (1)将正弦波转换为方波,如图7.9所示。 (a) (b) 图7.9 正弦波转换为方波 (2)构成直接耦合光开关,如图7.10所示。 + 光照时,输入电压上升至V时,输出为低电平,光照消失后,输出恢T 复至高电平。 39 图7.10 直接耦合光开关 (3)构成多谐振荡器,如图7.11所示。 图7.11 多谐振荡器 (4)构成单稳态触发器 图7.12(a)为下降沿触发;图7.12(b)为上升沿触发。 (a) (b) 图7.12 单稳态触发器 三、实验内容与步骤 1、按图7.1连线,输入1kHz连续脉冲,用双踪示波器观测V、V、iA 40 V、V、V及V的波形,记录之。 BDE0 、按图7.2连线,用双踪示波器观测V2、V、V、V及V的波形,iABC0记录之。 41 * 3、按图7.4(a)连线,令V由0?5V变化,测量V、V之值。 i12 4、按图7.6连线,输入1kHz连续脉冲,用双踪示波器观测输入、输出波 形,测定T与T。 12 *5、按图7.7连线,用示波器观测输出波形,测定振荡频率。 * 6、按图7.11连线,用示波器观测输出波形,测定振荡频率。 *7、按图7.9连线,构成整形电路,被整形信号可由音频信号源提供,图中串联的2K电阻起限流保护作用。将正弦信号频率置1kHz,调节信号电压由低到高观测输出波形的变化。记录输入信号为0V,0.25V,0.5V,1.0V,1.5V,2.0V时的输出波形,记录之。 *8、分别按图7.12(a)、(b)连线,进行实验。 R=2K,C=0.1uF 图7.12(a)的输入,输出波形 R=2K,C=0.1uF 图7.12(b)的输入,输出波形 42 实验八:555时基电路及其应用 一、实验目的: 1(熟悉基本定时电路的工作原理及定时元件R、C对振荡周期和脉宽的影响。 2(掌握用555集成定时器构成定时电路的方法。 二、实验原理: 555定时器是一种中规模集成电路,只要在外部配上几个适当的阻容元件,就可以方便地构成史密特触发器,单稳态触发器及多谐振荡器等脉冲产生与变换电路。它在工业自动控制、定时、仿声、电子乐器、防盗等方面有广泛的应用,该器件的电源电压为4.5V—18V,驱动电流可达200mA左右,并能与TTL、CMOS逻辑电平相兼容。 三、实验内容: 1、利用555定时器设计频率和占空比可调的多谐振荡器。其振荡频 率在300~10K范围可调。 电路如下: 43 555第三,六号脚波形如下: (注:改变W可以改变占空比,改变W可以改变频率。充电电流通过12 R、D、W和W;放电时通过W、W、D、R。当R=R、W调至11211222121中心点,因充放电时间基本相等,其占空比约为50%,此时调节W仅改2变频率,占空比不变。如W调至偏离中心点,再调节W,不仅振荡频12率改变,而且对占空比也有影响。W不变,调节W,仅改变占空比,对21 频率无影响。因此接通电源后,应首先调节W使频率至规定值,再调节2 W,以获得需要的占空比。若频率调节的范围比较大,还可以用波段开1 关改变C的值。) 1 44 、利用555定时器设计制作触摸式定时节能灯。 2 电路有交流降压整流电路、单稳延时电路和可控硅处罚电路组成。555和RP、C5、C3等组成触摸式单稳延时电路。平时2脚悬空,与7脚相连的集成片内部的放电管饱和导通,555处于复位状态,3脚呈低电平,SCR截止,灯泡不亮。当人体触及金属偏食,感应加至触发端2脚,555被置位,输出高电平,SCR触发导通,灯泡亮。此时,集成片内部的放电管截止,C5通过RP对其充电,电路处于暂稳状态。当C5上的电压充到高于触发电平2/3V时,555复位输出低电平。电容充电时DD 间,即触摸后的延时时间T=1.1RPC5,图示参数的延时时间最大至7分d 钟左右,可通过调节电位器RP来改变。 3、利用555定时器设计模拟声响电路,要求高低音交替出现,电路由两个多谐振荡器,调节定时元件组成,使I输出较低频率,II为高频振荡器,连好线,接通电源,试听音响效果。调换外接阻容元件,再试听音响效果。 45 由555构成的模拟声响电路(双音电路) ? 用双踪示波器观察555?555?输出端的波形并记录 46 ? 调节100kΩ电位器有何效果, R,W答:改变100kΩ电位器的阻值可改变两音的间隔且当时间隔长,反 (f,)之则短。 ? 调节47kΩ电位器有限何效果, 答:改变47kΩ电位器的阻值可改变音调的高低,且音调高反之音R,W调低实质上,当改变47kΩ电位器阻值时则改变了555?(第5脚)的VM电压。 当(矩形波的占空比小) R,,V,,555?输出信号的f,,音调高WM (矩形波的占空比大) R,,V,,555?输出信号的f,,音调低WM (随着的改变也可改变信号的占空比) VM f(T)? 555?的振荡器的理论值 1.431.431.43,,,,f3,6,,,,,,(R2R)C(10k200k)10f,,,210101010AB, 1.43,,,,,0.681(Hz)(R(100k电位器)100k) ,B2.1, 1.431.43,f14.3(hZ)(R(100k电位器)0k时),,,,,B,4,5,,(10K)10F,1010, f0.68Hz~14.3Hz?调节100kΩ的电位器可使输出的在之间可调 0.1,ff(T)? 555?的振荡器的理论值(设5脚通过的电容到地) 1.431.431.43,,,f,36,(10,200),0.01210,0.01kk,fk,f210,10,0.01,10, ,41.431.431.4310,,,,,,484,212.1,10,1021,10, ,681(Hz)T,1.47ms 47 当由555?输出端通过47k电位器连接于555?的第?脚时,调节47k电 f位器,可使555?的输出随之而改变(即随着 V的,,f,;V的,,f,MM (音调低) (音调高) 1 (—控制端所加T,(R,R),C,ln[(V,V)/(V,V)],0.7RVCABCCCCCCB,C2 直流电平) 2 (—控制端所加直流电,T,0.7(R,2R),C(V,V时V,4V)VCABCCCC3 平) (ln2,0.7ln3,1.1) (V,6V)CC 48 实验九: 拔河游戏机 实验学时:4 实验类型:(综合) 实验要求:(选修) 一、实验目的 1、熟悉用中规模集成电路进行时序逻辑电路和组合逻辑电路设计的方法。 2、掌握整形、控制、译码器、计数器、显示电路的用法。 二、实验原理 给定实验设备和主要元器件,按照电路的各部分组合成一个完整的拔河游戏机。 1、拔河游戏机需用15个(或9个)发光二极管排列成一行,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 2、显示器显示胜者的盘数 3、实验电路 (1)实验电路框图如图16.1所示。 图16.1 拔河游戏机线路框图 三、实验预习要求 49 1、复习有关时序电路,控制电路,显示电路的设计方法。 2、根据实验任务画出所需的实验电路。 四、实验条件 1、DZX—2型 电子学综合实验装置。 2、双踪示波器,连续脉冲源,单次脉冲源。 3、元器件CC4514,CC4511,CC4011,CC4081,CC4518,CC40193,数码管,电阻,电容,发光二极管等。 五、实验内容 可逆计数器原始状态输出4位二进制数0000,经译码器输出使中间的一只发光二极管发亮。当按动A、B两个按键时,分别产生两个脉冲信号,经整形后分别加到可逆计数器,可逆计数器输出的代码经译码器译码后驱动发光二极管点亮并产生位移,当亮点移动任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。 将双方终端二极管的正端分别经两个与非门后接至二个二—十进制计数器的加计数端,当任一方取胜,该方终端二极管发亮,产生一个下降沿使其对应的计数器计数。这样,计数器的输出即显示了胜者取胜的盘数。 1、可逆计数器要有二个输入端,四个输出端,要进行加/减计数,因此选用CC40193双时钟二进制同步加/减计数器来完成。 2、整形电路 CC40193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CP必须为高电平;进行减法计数D 时,加法输入端CP也必须为高电平,若直接由A、B键产生的脉冲加到5a 脚或4脚,那么就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河赛不能正常进行。加一整形电路,使A、B二键出来的脉冲经整形后变为一个占空比很大的脉冲,这样就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。整形电路系由与门CC4081和与非门CC4011 50 实现。 3、译码电路 选用4-16线CC4514译码器。译码器的输出Q,Q分接15个(或9个)个014 发光二极管,二极管的负端接地,而正端接译码器;这样,当输出为高电平时发光二极管点亮。 比赛准备,译码器输入为0000,Q输出为0,中心处二极管首先点亮,当0 编码器进行加法计数时,亮点向右移,进行减法计数时,亮点向左移。 4、控制电路 为指示出谁胜谁负,需用一个控制电路。当亮点移到任何一方的终端时,判该方为胜,此时双方的按键均宣告无效。此电路可用异或门CC4030和非门CC4011来实现。将双方终端二极管的正极接至异或门的两个输入端,当获得胜一方为“1”,而另一方则为“0”,异或门输出为“1”,经非门产生低电平“0”,再送到计数器的置数端PE,于是计数器停止计数,处于预置状态,使计数器对输入脉冲不起作用。 5、胜负显示 将双方终端二极管正极经与非门后的输出端分别接到二个CC4518数器的EN端,CC4518的两组4位BCD码分别接到实验装置的两组译码显示器的A、B、C、D插口处。当一方取胜时,该方端二极管发亮,同时相应的数码管进行加一一计数,于是就得到了双方取胜次数的显示,若一位数不够,则进行二位数的级联。 6、复位 为能进行多次比较而需要进行复位操作使亮点返回中心点,可用一个开关控制CC40193的清零端即可。 胜负显示器的复位也应用一个开关来控制胜负计数器的清零端R,使其重新计数。 51 整体电路图 52 实验十: 数字频率计的设计 实验学时:4 实验类型:(设计) 实验要求:(选修) 一、实验目的 1、熟悉用中规模集成电路进行时序逻辑电路和组合逻辑电路设计的方法。 2、掌握整形、锁存、译码器、计数器、显示电路设计方法。 二、实验原理 数字频率计是用于测量信号(方波、正弦波或其它脉冲信号)的频率,并用十进制制数字显示,它具有精度高,测量迅速,读数方便等优点。 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中,f为被测信号的频率,N为计数器所累计的脉冲个数。T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000Hz。 本实验课题仅讨论一种简单易制的数字频率计。 晶振产生较高的标准频率,经分频器后可获得各种时基脉冲(1ms,10ms,0.1s,1s等),时基信号的选择由开关S控制。被测频率的输入信号2 经放大整形后形成矩形脉冲加到主控门的输入端,如果被信号为方波,放大整形可以不要,将被测信号直接加到主控门的输入端。时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。若时基信号的频率为T,进入计数器的输入脉冲数为N,则被测信号的频率f=N/T,改变时基信号的周期T,即可得到不x 同的测频范围。当主控门关闭时,计数器停止计数,显示器显示记录结果。此时控制电路输出一个置零信号,经延时、整形电路的延时,当达到所调节的延时时间时,延时电路输出一个复位信号,使计数器和所有的触发器轩0,为后续新的一次取样作好准备,即能锁住一次显示的时间,使保留到接 53 受新的一次取样为止。 当开关S 改变量程时,小数点能自动移位;若开关S,S配合使用,可213将测试状态转为“自检”工作状态(即用时基信号本身作为被测信号输入)。 三、实验预习要求 1、复习有关时序电路,锁存电路,控制电路,显示电路的设计方法。 2、根据实验任务画出所需的实验电路。 四、实验条件 1、DZX—2型 电子学综合实验装置。 2、双踪示波器,连续脉冲源,单次脉冲源。 3、元器件CC4518,CC4553, CC4013 , CC4011,CC4069,CC4001,CC4071, 2AP9,电位器,数码管,电阻,电容,发光二极管等。 五、实验内容 使用中、小规模集成电路设计与制作一台简易的数字频率计。应具有下述功能: 1、位数 计4位十进制数(计数位数主要取决于被测信号频率的高低,如果被测信号频率较高,精度又较高,可相应增加显示位数)。 2、量程 第一档:最小量程档,最大读数是9.999KHz,闸门信号的采样时间为1s。 第二档:最大读数为99.99Khz,闸门信号的采样时间为0.1s。 第三档:最大读数为999.9KHz,闸门信号的采样时间为10ms。 第四档:最大读数为9999KHz,闸门信号的采样时间为1ms。 3、显示方式 (1)用七段LED数码管显示读数,做到显示稳定、不跳变。 (2)小数点的位置跟随量程的变更而自动移位。 (3)为了便于读数,要求数据显示的时间在0.5s,5s内连续可调。 4、具有“自检”功能。 54 5、被测信号为方波信号。 6、画出设计的数字频率计的电路总图。 7、组装和调试 (1)时基信号通常使用石英晶体振荡器输出的标准频率信号经分频电路获得。为了实验调试方便,可用实验设备上脉冲信号源输出的1kHz方波信号经3次10分频获得。 (2)按设计的数字频率计逻辑图在实施设备上布线。 (3)用1KHz方波信号送入分频器的CP端,用数字频率计检查各分频级的工作是否正常。有周期为1s的信号作控制电路的时基信号输入,用周期等于1ms的信号作被测信号,用示波器观察和记录控制电路输入、输出波形,检查控制电路所产生的各控制信号能否按正确的时序要求控制各个子系统。用周期为1s的信号送入各计数器的CP端,用发光二极管指示检查各计数器的工作是否正常。用周期为1s的信号作延时、整形单元电路的输入,用两只发光二极管作指示,检查延时、整形单元电路的输入,用两只发光二极管作指示,检查延时、整形单元电路的工作是否正常。若各个子系统的工作都正常了,再将各子系统联起来统调。 方案1:采用直接测频原理构成的4位数字频率计 (1) 测频原理 用时基电路产生一个1秒的标准时间闸门,去选通被测输入信号,然后对被选通的信号进行计数、译码、显示、原理波形如图10.1所示。 图10.1 直接测频原理 55 (2)采用直接测频原理构成的4位数字频率计电路图如下: (3)系统各部分的工作原理 56 a) 计数、锁存、译码显示电路。由四片CC40110和四个共阴LED数 码管组成。十进制计数芯片CC40110具有加/减计数、锁存、7段 显示译码、驱动等功能。 b) 时基电路。用MM5369接成3.57MHz晶振/分频器,产生60Hz方 波输出,送CC4017等组成的控制电路作时钟脉冲。 c) 控制电路。主要用于产生1S闸门信号、锁存信号,清零信号等。 由计数器、译码电路、基本RS触发器和单稳电路组成。两片十 进制计数—译码芯片CC4017级联构成62进制计数器,输入时钟 是时基电路送来的60Hz的方波。计数之前,计数器处于0状态 (每次计数结束,数据锁存之后,电路会自动清零)。 d) 输入信号整形电路。被测频率输入信号Vi首先经施密特触发器整 形,施密特触发器由两个CMOS反相器CC4069组成。输入端两 个二极管构成限幅电路,防止施密特触发器的输入信号幅值出现 负电平或大于V。 DD 控制电路中A~K点的工作波形 57 方案2:用 FLEX10K系列芯片设计一个4位数字频率计 (1)器件选择 因为4位数字频率计是一个小型数字系统,所以选用内有31000个门的EPF10K10即可满足要求。 (2)系统框图 A)系统的原理框图如图10.2所示。整个频率计电路有一片 EPF10K10和少量外围电路组成其外围电路包括: B)由14位二进制计数/分频CC4060构成的振荡电路,用于产生 8Hz的方波信号。 C)由施密特触发器组成的输入信号整形电路。 D)由四片CC4511组成的BCD—锁存/7段译码/驱动电路。译码电 路也可以用EPF10K10芯片实现,但考虑EPF10K10的I/O口 数量有限,并且其I/O口不能驱动LED数码管,所以采用外 译码电路更适合。 图10.2 用EPF10K10芯片设计一个4位数字频率计的系统框图 58 (3)电路设计 先对各功能模块用VHDL语言进行描述。根据频率计的工作原理,其顶层原理图如10.3所示。图中U21-U24是十进制计数模块CNT10;U31-U34锁存器模块LOCK;CNT13和CODE是控制模块,用于产生1S的闸门信号、清零信号和锁存信号。 图10.3 4位数字频率计的顶层原理图 (4)各模块的VHDL语言源程序 1(十进制计数模块CNT10的VHDL语言 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 IS PORT(CH,CLR,CLK : IN STD_LOGIC; Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) 59 ); END CNT10; ARCHITECTURE A OF CNT10 IS BEGIN PROCESS(CLK) VARIABLE TMP :STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF (CLK'EVENT AND CLK='1') THEN IF CLR='0' THEN TMP:="0000"; ELSIF CH='1' THEN IF TMP="1001" THEN TMP:="0000"; ELSE TMP:=TMP+1; END IF; END IF; END IF; Q<=TMP; END PROCESS; END A; 2(控制模块CNT13的VHDL语言 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT13 IS PORT(CLK : IN STD_LOGIC; Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END CNT13; ARCHITECTURE A OF CNT13 IS BEGIN 60 PROCESS(CLK) VARIABLE TMP :STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF (CLK'EVENT AND CLK='1') THEN IF TMP="1011" THEN TMP:="0000"; ELSE TMP:=TMP+1; END IF; Q<=TMP; END IF; END PROCESS; END A; 3(控制模块CODE的VHDL语言 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CODE IS PORT(CH,CLR,CLK : OUT STD_LOGIC; Q : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ); END CODE ; ARCHITECTURE A OF CODE IS BEGIN PROCESS(Q) BEGIN IF (Q=0) THEN CLR<='1'; ELSE CLR<='0'; END IF; IF (Q=12) THEN CLK<='1'; ELSE CLK<='0'; 61 END IF; IF ((Q>0) AND (Q<9)) THEN CH<='1'; ELSE CH<='0'; END IF; END PROCESS; END A; 4(锁存模块LOCK的VHDL语言 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY LOCK IS PORT(CLK : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(3 DOWNTO 0); Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END LOCK; ARCHITECTURE A OF LOCK IS BEGIN PROCESS(CLK) BEGIN IF (CLK'EVENT AND CLK='1') THEN Q<=D; END IF; END PROCESS; END A; (5)用MAX PLUS II 软件完成设计 顶层原理图和底层模块设计完成以后,利用MAX PLUS II完成输入和编译、设计仿真、引脚锁定、JED文件生成和下载编程。 62 方案3:用 单片机设计一个4位数字频率计 (1) 芯片的选择 单片机芯片种类很多,用单片机实现数字系统时应选用合适类型。本题选用AT89C2051单片机,因为它是一种低功耗、高性价比的8位CMOS微处理芯片,而且只有20脚封装;片内有2K程序存储器,指令系统与MCS—51单片机完全兼容;内部有两个16位定时/计数器T0,T1,因此可用它来设计数字频率计:用T1作被测信号的脉冲计数器,用T0和软件编程产生1S的时基信号。 (2) 硬件实现 硬件实现如图10.4所示。由于数字频率计大部分功能由软件实现,所以硬件电路十分简单。图中显示电路采用动态扫描方式,7段显示码从P1口送出,每10ms选通显示一位LED数码管。 图10.4 用单片机设计的4位数字频率计 63 (3)软件流程图 数字频率计的软件设计分主程序和T0中断服务程序两个模块,如图10.5和图10.6所示。 图10.5 主程序流程图 64 图10.6 T0中断服务程序流程图 65
/
本文档为【实验一、TTL、CMOS集成门电路参数测试】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索