为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

基于FPGA的Sobel边缘检测实现[权威资料]

2018-01-12 5页 doc 16KB 10阅读

用户头像

is_348501

暂无简介

举报
基于FPGA的Sobel边缘检测实现[权威资料]基于FPGA的Sobel边缘检测实现[权威资料] 基于FPGA的Sobel边缘检测实现 本文档格式为WORD,感谢你的阅读。 【摘 要】Sobel边缘检测是图像分割处理中的一种常用方法,经常用于各种图像处理当中。但是传统的图像处理工具由于大多数都是顺序处理的,图像处理速度偏慢。在要求实时的图像处理中会显得力不从心。而FPGA由于其并行的处理特点,可以并行处理大量的数据。在实时图像处理中可以发挥出巨大的优势。所以用FPGA研究图像处理是很有必要的。本文主要工作是利用FPGA,选择Verilog语言实现了Sobel边缘检测。...
基于FPGA的Sobel边缘检测实现[权威资料]
基于FPGA的Sobel边缘检测实现[权威资料] 基于FPGA的Sobel边缘检测实现 本文档格式为WORD,感谢你的阅读。 【摘 要】Sobel边缘检测是图像分割处理中的一种常用方法,经常用于各种图像处理当中。但是传统的图像处理工具由于大多数都是顺序处理的,图像处理速度偏慢。在要求实时的图像处理中会显得力不从心。而FPGA由于其并行的处理特点,可以并行处理大量的数据。在实时图像处理中可以发挥出巨大的优势。所以用FPGA研究图像处理是很有必要的。本文主要工作是利用FPGA,选择Verilog语言实现了Sobel边缘检测。 【关键词】FPGA,Sobel,Verilog; 在图像处理中边缘蕴含了丰富的信息,是图像的基本特征之一。边缘检测不仅能减少图像处理的工作量,还能保留图像中物体的形状特性。所以研究边缘检测是非常有必要的。FPGA是利用硬件编程语言对图像进行处理,可以同一时间处理大量的数据,在此利用FPGA的并行结构特性和流水线技巧,快速实现了Sobel边缘检测算法 。为图像的后续处理打下了基础。 一、Sobel边缘检测算法 边缘检测算法都是通过梯度算子来实现的。经典的梯度算子有Sobel、Kirsch、Roberts等。在求边缘的梯度时需要对每个像素点进行计算,工作量是比较大的。在这些算法中Sobel具有计算简单、检测效果好的特点,是一种被广泛应用的算法。Sobel算子是一阶导数的边缘算子。是利用水平和垂直方向上3X3的Sobel算子模版,与选定图像中同样大小的窗口中的每个像素点做卷积求和运算,窗口中心点为待 求的像素点。计算出图像水平和垂直方向的梯度值,然后对水平和垂直方向的梯度值的平方求和,再开方。与设定的阈值进行比较,如果结果大于阈值边视为边缘点,否者就不是边缘点。 图1为水平方向的Sobel矩阵算子,图2为垂直方向的Sobel矩阵算子。图3为3X3模版的像素灰度值。 利用Sobel算子对图3中的3X3模版做卷积和计算。就可以得到p5像素处水平和垂直方向的梯度值Gx和Gy。最后利用 (1) 算出p5处的灰度值,再与设定的阈值进行比较。若大于阈值就视为边缘点,不然就是普通点。 二、Sobel边缘检测算法的硬件系统实现 (一)Sobel实现方法选择 由于Quartus II 9.0自带有很多的IP核,可以调用IP核进行乘法、并行相加来实现Sobel边缘检测。但是这样就会涉及到补码、负数等。实现方法较为复杂。在本文中提出一种简单的算法实现边缘检测,把复杂的问简单化。 对于水平模版,把图3中的第1列和第3列像素点分别与其相应的位置做卷积和,并且分别取第1列和第3列的卷积和的绝对值比较大小,把较大值认为Gx。 Gx=max{(p1+2p4+p7),(p3+2p6+p9)} (2) 对于垂直模版,把图2中的第1行和第3行像素点分别与其对应的位置做卷积和,并分别取第1行和第3行的卷积和的绝对值比较大小,把较大值认为Gy。 Gy=max{(p1+2p2+p3),(p7+2p8+p9)} (3) (二)Sobel的硬件实现 由于Sobel的实现需要3X3的像素模版与水平方向和垂直方向的卷积因子进行卷积求和,所以这里利用Quartus II 9.0自带的IP核Shift Register(RAM-based)构建三行以此为基础获得3X3的像素模版。如图4所示 图4 移位寄存器 在得到3X3模版后利用(2)、(3)进行硬件实现,关键代码如下 begin Gy1<=p11+(p12<<1)+p13; Gy2<=p31+(p32<<1)+p33; Gy Gy2, Gy1-Gy2 : Gy2-Gy1; end begin Gx1<=p11+(p21<<1)+p31; Gx2<=p13+(p23<<1)+p33; Gx Gx2,Gx1-Gx2 : Gx2-Gx1; end 经过一系列运算就得到Gx与Gy,再根据公式(1)与自己设置的阈值,就能实现Sobel边缘检测。 三、实验结果 在视频采集系统里面加入Sobel边缘检测模块,编译并下载程序,运行系统,实验结果如图5所示。 实验效果显示可以很好的进行边缘检测,经过边缘检测处理后也为后续更复杂的图像处理打下了基础。 四、结束语 通过利用FPGA的并行特性,完成了3X3模版像素的提取和Sobel边缘检测算子的处理。通过FPGA的流水线结构和并行特点处理了大量的数据,最终实现了Sobel边缘检测。为更加复杂的图像处理进行了铺垫。 参考文献: [1]冈撒雷斯.数字图像处理.北京:电子工业出版社. [2]王涛,全书海.基于改进Sobel算子的车牌定位方法.微计算机信息,2008,24(5):63―65. [3]夏宇闻.Verilog数字系统设计教程[M].北京:北京航空航天大学出版社,2003. [4]Ahera Corporation.Embedded Design Handbook,2009. [5]段瑞玲,李庆祥,李玉和.图像边缘检测方法研究综述[J].光学技术,2005,31(3):415―419. 文档资料:基于FPGA的Sobel边缘检测实现 完整下载 完整阅读 全文下载 全文阅读 免费阅读及下载 阅读相关文档:利用VLAN+IP+MAC+端口绑定技术实现企业网络安全 铁路运输管理中存在的问题及对策研究 米氮平致光敏性皮炎1例 采供血和成分制备过程中血液报废原因分析及质量控制 生育宣传教育工作的现状和对策 85例胃肠肿瘤术后继发切口感染临床分析 Brugada综合征的诊断和现代治疗 糖尿病健康教育在内分泌护理当中的应用研究 鼻内窥镜下鼻前庭囊肿开窗术治疗的临床分析 睡眠脑电图在癫痫患儿中的诊断价值 乙肝面抗体定性和定量两种检测方法相关性研究 胱抑素C在临床中的诊断价值 论脑多发性硬化MR―DTI价值分析 BPH并发AUR时患者血清PSA的变化及易致AUR的相关因素分析 最新最全【学术论文】【总结】 【演讲致辞】【领导讲话】 【心得体会】 【党建】 【常用范文】【分析报告】 【应用文档】 免费阅读下载 *本文收集于因特网,所有权为原作者所有。若侵犯了您的权益,请留言。我将尽快处理,多谢。*
/
本文档为【基于FPGA的Sobel边缘检测实现[权威资料]】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索