为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

简单正弦信号发生器设计实验报告

2017-10-06 3页 doc 69KB 588阅读

用户头像

is_654168

暂无简介

举报
简单正弦信号发生器设计实验报告简单正弦信号发生器设计实验报告 课题名称:简单正弦信号发生器设计 一:实验要求 (1)设计一个正弦信号发生器,要求ROM是8位数据线,8位地址。256个8位波形数据的mif文件通过两种方式建立,一种用Quartus II的专用编辑器建立,另一种是使用附录的mif文件生成器建立。首先创建原理图工程,调用LPM_ROM等模块;在原理图编辑窗中绘制电路图,全程编译,对设计进行时序仿真,根据仿真波形说明此电路的功能,引脚锁定编译,编程下载于FPGA中,用实验系统上的DAC0832做波形输出,用示波器来观察波形。完成实验报告。 ...
简单正弦信号发生器设计实验报告
简单正弦信号发生器设计 课题名称:简单正弦信号发生器设计 一:实验要求 (1)设计一个正弦信号发生器,要求ROM是8位数据线,8位地址。256个8位波形数据的mif文件通过两种方式建立,一种用Quartus II的专用编辑器建立,另一种是使用附录的mif文件生成器建立。首先创建原理图,调用LPM_ROM等模块;在原理图编辑窗中绘制电路图,全程编译,对设计进行时序仿真,根据仿真波形说明此电路的功能,引脚锁定编译,编程下载于FPGA中,用实验系统上的DAC0832做波形输出,用示波器来观察波形。完成实验报告。 (2)学习使用Quartus II的In-System Memory Content Editor来观察FPGA中的LPM_ROM中的z形波数据,并在在线改变数据后,从示波器上观察对应的输出波形的改变情况。 (3)学习使用Quartus II的Signal Tap II观察FPGA的正弦波形。 二:实验原理 正弦信号发生器的结构框图由四个部分组成: (1)计数器或地址发生器,用来作为正弦波数据ROM的地址信号发生器。ROM中的数据将随地址数据的递增而输出波形数据,然后由DAC输出波形。 (2)正弦信号数据ROM,含64个8位数据。 (3)原理图顶层设计。 (4)8位D/A。DAC的输出接示波器。 三:实验内容 1、定制初始化波形数据文件:建立.mif格式文件。File—new—other files, 选择 Memory Initialization File选项,选择64点8位的正弦数据,弹出后输入教材图4-38中的数据。然后以romd.mif的名字保存至新建的文件夹中。 2、定制LPM_ROM元件:利用MegaWizard Plug-In Manager定制正弦信号数据ROM宏功能块,并将以上的波形数据加载于此ROM中。并以data_rom.vhd名字将生成的用于例化的波形数据ROM文件保存至上述文件夹中。 3、用VHDL语言完成正弦信号发生器的顶层设计。 实验电路: 四:实验结果 实验电路图 正弦波信号数据 输入 当前工程仿真波形输出 学生:国艺 学号:15041501
/
本文档为【简单正弦信号发生器设计实验报告】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索