为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

简易波形发生器的设计

2017-09-25 42页 doc 266KB 46阅读

用户头像

is_037433

暂无简介

举报
简易波形发生器的设计简易波形发生器的设计 摘 要 波形发生器是随着不断进步的计算机技术和微电子技术在测量仪器中的应用而形成和发展起来的一类新型信号源。产生任意波形通常采用基于数字合成的方法,即先将所需产生信号波形的一个周期若干个样点的幅值的二进制信息存储在波形存储器中,再通过硬件电路依次从波形存储器中读取出来,经D/A转换后得到所需信号波形的输出。 本系统是基于AT89C52单片机的数字式低频信号发生器。采用AT89C52单片机作为控制核心,利用单片机AT89C52和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都...
简易波形发生器的设计
简易波形发生器的设计 摘 要 波形发生器是随着不断进步的计算机技术和微电子技术在测量仪器中的应用而形成和发展起来的一类新型信号源。产生任意波形通常采用基于数字合成的方法,即先将所需产生信号波形的一个周期若干个样点的幅值的二进制信息存储在波形存储器中,再通过硬件电路依次从波形存储器中读取出来,经D/A转换后得到所需信号波形的输出。 本系统是基于AT89C52单片机的数字式低频信号发生器。采用AT89C52单片机作为控制核心,利用单片机AT89C52和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。外围采用数字/模拟转换电路(DAC0832)、运放电路(LM324)、按键和8位数码管等。通过按键控制可产生锯齿波、三角波、正弦波、方波,同时采用四种不同颜色的LED指示其对应的波形。设计中简要介绍了DAC0832数模转换器的结构原理和使用方法、AT89C52的基础理论,以及与设计电路有关的各种芯片。文中着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。其设计简单、性能优好,可用于多种需要低频信号的场所,具有一定的实用性。 本设计关于产生不同低频信号的信号源的设计,不仅在理论和实践上都能满足实验的要求,而且具有很强的可行性。该波形发生器的特点是:体积小、价格低廉、性能稳定、实现方便、功能齐全。 关键词:波形;幅度;频率;AT89C52 I Abstract Waveform generator is along with the progress of computer technology and microelectronics technology application in measuring instrument and the formation and development of a new type of source. Produce any waveform synthesis based on the number of usually is needed, will produce A periodic signal waveform several samples of the amplitude of the binary information stored in memory, again through the waveform hardware circuit from waveform in memory read out by D/A transformation, after the output signal waveform required. This system is based on single-chip digital frequency AT89C52 signal generator. By AT89C52 single chip microcomputer as control core, microcontroller AT89C52 DAC0832 and analog-to-digital conversion device for different signal frequency signal, the signal amplitude and frequency can be controlled according to the requirements. Peripheral USES digital circuit simulation/ (DAC0832), amplifier (LM324), buttons and eight digital pipe, etc. Through the button control can produce sawtooth wave, triangular, square, while using sine wave, four different color leds indicate the corresponding waveform. Design is briefly introduced the structure DAC0832 digital-to-analog converters principle and usage, the basic theory of AT89C52 and circuit design relevant chip. This paper mainly introduces how to use the single-chip microcomputer control D/A signal converter produces the hardware circuit and software programming. The design is simple and optimum performance is good, can be used in various needs of low frequency signal, has certain practical. The design of different frequency signals about the design scheme of the source, not only in theory and in practice can satisfy the requirements, and the experiment with strong feasibility. The waveform generator features are: small, cheap, stable performance, convenient, complete function. Keywords:waveform;Amplitude;Frequency;AT89C52 II 目 录 摘 要 ................................................................................................................... I ABSTRACT .......................................................................... 错误~未定义书签。II 第1章 引言 ............................................................................................................ 1 1.1简易波形发生器的发展现状 .......................................................................... 1 1.2单片机在低频信号发生器中的应用 ............................................................... 1 第2章 系统方案设计 ............................................................................................. 4 2.1系统方案的比较 ............................................................................................. 4 2.2控制芯片的选择 ............................................................................................. 6 第3章 硬件电路的设计 ......................................................................................... 7 3.1总体方案设计 ................................................................................................. 7 3.2单片机概述 ..................................................................................................... 7 3.3各部分电路设计 ........................................................................................... 10 3.3.1波形输出控制按键与指示电路的设计................................................... 10 3.3.2 D/A转换电路的设计.............................................................................. 12 3.3.3复位及晶振电路的设计 .......................................................................... 15 3.3.4电源硬件电路 ......................................................................................... 17 第4章 软件设计 ................................................................................................... 19 4.1主程序设计 ................................................................................................... 19 4.2 子程序设计................................................................................................... 20 4.2.1 锯齿波程序 ............................................................................................ 20 4.2.2 三角波程序 ............................................................................................ 21 4.2.3正弦波程序 ............................................................................................. 21 4.2.4方波程序 ................................................................................................. 22 4.2.5延时子程序 ............................................................................................. 23 第5章 波形测试 ................................................................................................... 26 5.1 硬件测试波形 ............................................................................................... 26 5.2 各种波形输出结果 ....................................................................................... 27 第6章 结论 .......................................................................................................... 28 参 考 文 献 .......................................................................................................... 29 致 谢 ................................................................................................................ 30 III 附 录1 ............................................................................................................. 31 附 录2 ............................................................................................................. 36 IV 沈阳工业大学工程学院本科生毕业设计(论文) 第1章 引言 1.1简易波形发生器的发展现状 任意波形发生器是在1975年开发成功的,从此,信号发生器产品增加了一个新品种。在任意波形发生器作为测量用信号激励源进入市场之前,为了产生非正弦波信号,已使用函数发生器提供三角波、斜波、方波和余弦波等几种特殊波形。声音和振动分析需要复杂调制的信号源,以便仿真真实的信号,只有借助任意波形发生器。例如医疗仪器测试往往需要心电波形,任意波形发生器 [1]很容易产生各种非的振动信号。 早期的任意波形发生器主要着重音频频段,现在的任意波形发生器已扩展到射频频段,它与数字示波器(DSO)密切配合,只要数字示波器捕获的信号,任意波形发生器就能复制出同样的波形。在电路构成上,数字示波器是模拟/数字转换,任意波形发生器是数字/模拟的逆转换,目前任意波形发生器的带宽达到2GHz,足够仿真许多移动通信、卫星电视的复杂信号。 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件搭接而成,且波形种类有限,多为锯齿波、正弦波、方波、三角波等波形。 信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用555振荡电路发生正弦波、三角波和方波的电路是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差、控制难、可调范围小、电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制、生物医学、地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的RC很大;大电阻、大电容在制作上有困难,参数的精度难以保证。体积大、漏电、损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 1.2单片机在低频信号发生器中的应用 当今是科学技术及仪器设备高度智能化飞速发展的信息社会,电子技术的 1 沈阳工业大学工程学院本科生毕业设计(论文) 进步,给人们带来了根本性的转变。现代电子领域中,单片机的应用正在不断的走向深入,这必将导致传统控制与检测技术的日益革新。单片机构成的仪器具有高可靠性、高性价比,在智能仪系统和办公自动化等诸多领域得以极为广泛的应用,并走入家庭,从洗衣机、微波炉到音响汽车,处处可见其应用。因此,单片机技术开发和应用水平已逐步成为一个国家工业发展水平的标志之一。 一块单片机芯片就是一台计算机。由于单片机的这种特殊的结构形式,在某些应用领域中,它承担了大中型计算机和通用微型计算机无法完成的一些工[2]作。使其具有很多显著的优点和特点,因此在各个领域中都得到了迅猛的发展。单片机的特点归纳起来有以下几个方面: 1(具有优异的性价比 单片机尽可能地把应用所需的存储器、各种功能的I/O 接口集成在一块芯片内,因而其性能很高,而价格却相对较低廉,即性能价格比很高。 2(集成度高、体积小、可靠性高 单片机把各种功能部件集成在一块芯片上,因而集成度高,均为大规模或超大规模集成电路。又内部采用总线结构,减少了芯片之间的连线,这大大提高了单片机的可靠性与抗干扰能力。同时,其体积小、对于强磁场环境易于采取屏蔽措施,适合于在恶劣环境下工作。 3(控制功能强 单片机体积虽小,但“五脏俱全”,它非常适用于专门的控制用途。为了满足工业控制要求,一般单片机的指令系统中有极丰富的转移指令、I/O口的逻辑操作指令以及位操作指令。其逻辑控制功能及运行速度均高于同一档次的微机。 4(低电压、低功耗 单片机大量用于携带式产品和家用消费类产品,低电压和低功耗尤为重要。目前,许多单片机已可在2.2V电压下运行,有的已能在1.2V或0.9V下工作,功耗降至μA级,一粒钮扣电池就可长期使用。 利用单片机采用程序设计方法来产生低频信号,其下限频率很低。具有线路相对简单、结构紧凑、价格低廉、频率稳定度高、抗干扰能力强、用途广泛等优点,并且能够对波形进行细微调整,改良波形,使其满足系统的要求。只要对电路稍加修改,调整程序,即可完成功能升级。 本设计采用AT89S52单片机和一片DAC0832数模转换器做成的数字式低频 2 沈阳工业大学工程学院本科生毕业设计(论文) 信号发生器,它的特点是价格低、性能高,在低频范围稳定性好、操作方便、体积小、耗电少等。 信号发生器与其它相比还具有如下优点: (1)较分立元件信号发生器而言,具有频率高、工作稳定、容易调试等特性。 (2)较专用DDS芯片的信号发生器而言,具有结构简单、成本低等特性。 3 沈阳工业大学工程学院本科生毕业设计(论文) 第2章 系统方案设计 2.1系统方案的比较 依据应用场合需要实现的波形种类,波形发生器的具体指标要求会有所不同。依据不同的设计要求选取不同的设计方案。通常,波形发生器需要实现的波形有锯齿波、三角波、正弦波和方波。有些场合可能还需要任意波形的产生。各种波形共有的指标有:波形的频率、幅度要求、频率稳定度、准确度等。对于不同波形,具体的指标要求也会有所差异,例如,占空比是脉冲波形特有的指标。波形发生器的设计方案多种多样,大致可以分为三大类:纯硬件设计法、纯软件设计法和软硬件结合设计法。 方案一:波形发生器设计的纯硬件法 早期波形发生器的设计主要是采用运算放大器加分立元件来实现。实现的波形比较单一,主要为正弦波、方波和三角波,工作原理也相对简单。首先是产生正弦波,然后通过波形变换(正弦波通过比较器产生方波,方波经过积分器变为三角波)实现方波和三角波。在各种波形后加上一级放大电路,可以使输出波形的幅度达到要求,通过开关电路实现不同输出波形的切换,改变电路的具体参数可以实现频率、幅度和占空比的改变。通过对电路结构的优化及所用元器件的严格选取可以提高电路的频率稳定性和准确度。纯硬件法中,正弦波的设计是基础,实现方法也比较多,电路形式一般有LC、RC和石英晶体振荡器三类。LC振荡器适宜于产生几Hz至几百MHz的高频信号;石英晶体振荡器能产生几百kHz至几十MHz的高频信号且稳定度高;对于频率低于几MHz,特别是在几百Hz时,常采用RC振荡电路。RC振荡电路又分为文氏桥振荡电路、双T网络式和移相式振荡电路等类型。其中,以文氏桥振荡电路最为常用。目前,实现波形发生器最简单的方法是采用单片集成的函数信号发生器。它是将产生各种波形的功能电路集成优化到一个集成电路芯片里,外加少量的电阻、电容元件来实现。采用这种方法的突出优势是电路简单、实现方便、精度高、性能优越;缺点是功能较全的集成芯片价格较贵。实际中应用较多的单片函数信号发生器有MAX038(最高频率可达40MHz)和ICL8038(最高频率为300kHz)。 方案二:波形发生器设计的纯软件法 波形发生器的设计还可以采用纯软件的方法来实现。虚拟仪器使传统仪器 4 沈阳工业大学工程学院本科生毕业设计(论文) 发生了革命性的变化,是21世纪测试仪器领域技术发展的重要方向。它以计算机为基础,软件为核心,没有传统仪器那样具体的物理结构。在计算机上实现仪器的虚拟面板,通过软件设计实现和改变仪器的功能。例如用图形化编程工具LabVIEW来实现任意波形发生器的功能。在LabVIEW软件的前面板通过拖放控件,设计仪器的功能面板(如波形显示窗口、波形选择按键、波形存储回放等工作界面),在软件的后面板直接拖放相应的波形函数并进行参数设置或直接调用编程函数来设计任意波形以实现波形产生功能。完成的软件打包后,可脱离编程环境独立运行。实现任意波形发生器的功能。采用纯软件的虚拟仪器设计思路可以使设计简单、高效,仅改变软件程序就可以轻松实现波形功能的改变或升级。从长远角度来看,纯软件法成本较低。纯软件法的缺点是波形的响应速度和精度逊色于硬件法。 方案三:软硬件结合法软硬件结合 软硬件结合法软硬件结合的波形发生器设计方法同时兼具软硬件设计的优势,既具有纯硬件设计的快速、高性能,同时又具有软件控制的灵活性、智能性。如以单片机和单片集成函数发生器为核心。软硬件结合的波形发生器如图2-1所示。辅以键盘控制、LED显示等电路,设计出智能型函数波形发生器。采用软硬件结合的方法可以实现功能较全、性能更优的波形发生器,同时还可以扩展波形发生器的功能,比如通过软件编程控制实现波形的存储、运算、打印 [3]等功能,采用USB接口设计。使波形发生器具有远程通信功能等。目前,实验、科研和工业生产中使用的信号源大多采用此方法来实现。 复位键 DAC0832 AT89C52 单片机 波形指示 键盘 图2-1 软硬件结合的波形发生器 5 沈阳工业大学工程学院本科生毕业设计(论文) 纯硬件设计法功能较单一、波形改变困难、控制的灵活性不够,不具备智能性,其中由运算放大器加分立元件组成的波形发生器,除在学生实验训练中使用外。基本不被采用。 纯软件设计法实现简单,程序改变及功能升级灵活,但实现的波形精度及响应速度不如硬件法高。纯软件法主要适用于对波形精度、响应速度要求不高的场合。 相比之下,软硬件结合的方法可以设计出性能最优、功能扩展灵活、控制智能化的新一代的波形发生器。可以满足教学、科研、工业生产等各方面对波形发生器性能有较高要求的应用场合。 综合以上几种设计方案,本设计采用方案三软硬件设计的方法。其方案能够产生很好的波形、易实现。 2.2控制芯片的选择 方案一:AT89C52单片机是一种高性能8位单片微型计算机。它把构成计算机的中央处理器CPU、存储器、寄存器、I/O接口制作在一块集成电路芯片中, [4]从而构成较为完整的计算机。 方案二:C8051F005单片机是完全集成的混合信号系统级芯片,具有与AT80S52兼容的微控制器的内核,与MCS-51指令集完全兼容。除了具有标准AT80S52的数字外设部件之外,片内还集成了数据采集和控制系统中常用的模拟部件和其他数字外设及功能部件。 方案选择:方案二中C8051F005芯片系统内部结构复杂,不易控制,芯片成本高,对于本系统而言利用率低,AT89S52芯片比较常用,简单易控制、成本低、性能稳定故本设计采用方案一。 6 沈阳工业大学工程学院本科生毕业设计(论文) 第3章 硬件电路的设计 3.1总体方案设计 系统框图如图3-1所示。 波形指示 按键单片机数模转换电流/电压转换输出 电源基准电压 图3-1 简易波形发生器系统框图 简易波形发生器系统主要由CPU、D/A转换电路、基准电压电路、电流/电压转换电路、按键和波形指示电路、电源等电路组成。 当按下四个按键中的任一个按键,输出端分别输出锯齿波、三角波、正弦波、方波,并且有四个发光二极管分别作为不同波形的指示灯。 3.2单片机概述 [6]AT89C52系列单片机是ATMEL公司生产的。这是当前最新的一种电擦写8位单片机,与MCS-51系列完全兼容,有超强的加密功能,可完全替代87C51/52 [6]和8751/52。物美价廉,深受用户欢迎。 AT89C52是一种低功耗、高性能内含8K字节闪电存储器(Flash Memory)的8位CMOS微控制器。片内闪电存储器的程序代码或数据可在线写入,可通过常规的编程器编程。例如,MP-100这样一种经济型的编程器,它支持通用EPROM等各种存储器、PAL、GAL以及INTEL、ATMEL和PHILIPS等各公司的全系列51单片机的编程。ME5103和ME5105仿真器支持AT89系列所有器件的调试、仿真和编程。 单片机AT89C52有内部RAM,可以作为各种数据区使用,内部闪电存储器存 7 沈阳工业大学工程学院本科生毕业设计(论文) 放控制程序。它的主要功能是完成键盘扫描程序,检测外部的操作指令,控制液晶显示模式和向液晶发送显示数据等。 AT89C52引脚图如图3-2所示。 图3-2 AT89C52引脚图 低频信号发生器采用AT89S52单片机作为控制核心,其内部组成包括:一个8位的微处理器CPU及片内振荡器和时钟产生电路,但石英晶体和微调电容需要外接;片内数据存储器RAM低128字节,存放读/写数据;高128字节被特殊功能寄存器占用;片内程序存储器4KB ROM;四个8位并行I/O(输入/输出)接口P3-P0,每个口可用作输入,也可用作输出;两个定时/计数器,每个定时/计数器都可以设置成计数方式,用以对外部事件进行计数,也可以设置成定时方式,并可以根据计数或定时的结果实现计算机控制;五个中断源的中断控制 [5]系统;一个全双工UART(通用异步接收发送器)的串行I/O口。 VCC:供电电压。 GND:接地。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。 ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以 8 沈阳工业大学工程学院本科生毕业设计(论文) 不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时的目的。每当用作外部数据存储器时,将跳过一个ALE脉冲。如禁止ALE的输出可在SFR8EH地址上置0。此时,ALE只有在执行MOVX、MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,则置位无效。 :外部程序存储器的选通信号。在由外部程序存储器取指期间,每个PSEN 机器周期两次PSEN有效。但在访问外部数据存储器时,这两次有效的PSEN信号将不出现。 EAEA/VPP:当保持低电平时,则在此期间外部程序存储器(0000H-FFFFH), EAEA不管是否有内部程序存储器。注意加密方式1时,将内部锁定为RESET;当端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于加12V编程电源(VPP)。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。 XTAL2:来自反向振荡器的输出。 89S52 单片机外部有32个端口可供用户使用,其功能如表3-1所示。 表3-1 AT89C52并行I/O接口 端 引脚 第一功能 第二功能 口 位置 符 号 功 能 符 号 功 能 P0 39-32 P0.0-P0.7 通用I/0口 AD0-AD7 地址数据总线 P1 1-8 P1.0-P1.7 通用I/0口 P2 21-28 P2.0-P2.7 通用I/0口 A8-A15 地址总线(高位) 10 P3.0 RXD 串行通信发送口 11 P3.1 TXD 串行通信接收口 12 P3.2 INT0 外部中断0 P3 13 P3.3 INT1 外部中断1 通用I/0口 14 P3.4 T0 计数器0输入端口 15 P3.5 T1 计数器1输入端口 16 P3.6 WR 外部存储器写功能 17 P3.7 RD 外部存储器读功能 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码 9 沈阳工业大学工程学院本科生毕业设计(论文) 输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。 P3口也可作为AT89C52的一些特殊功能口,如下所示: P3.0 RXD(串行输入口) P3.1 TXD(串行输出口) INT0P3.2 (外部中断0) P3.3 INT1(外部中断1) P3.4 T0(计时器0外部输入) P3.5 T1(计时器1外部输入) WRP3.6 (外部数据存储器写选通) RDP3.7 (外部数据存储器读选通) P3口同时为闪烁编程和编程校验接收一些控制信号。 3.3各部分电路设计 3.3.1波形输出控制按键与指示电路的设计 1(波形输出控制按键电路的设计 本设计以键盘的数目来选择键盘最适合的接法,因为本设计键盘的数目为4 10 沈阳工业大学工程学院本科生毕业设计(论文) 个,所以最佳的接口方案是独立式接法,即每一个I/O口上只接一个按键,按键的另一端接电源或接地(一般接地)。占用的I/O口数最大为4条。(注意:1,4 按键的键盘的接法许多,如果接成扫描式可以占用更少的I/O口,但从程序复杂性和系统稳定性的综合考虑,独立式键盘接法应该是首选)独立式键盘的实现方法是利用单片机I/O口读取口的电平高低来判断是否有键按下。例如,将常开按键的一端接地,另一端接一个I/O口,程序开始时将此I/O口置于高电平,当无键按下时I/O口保护高电平。当有键按下时,此I/O 口与地短路则使I/O 口为低电平。按键释放后,单片机内部的上拉电阻使I/O口仍然保持高电平。在程 [7]序中查寻此I/O口的电平状态就可以了解是否有按键动作。 基于AT89C52单片机的波形输出控制按键电路的设计如图3-1所示。 +5V R2R3R4R510K10K10K10K 1P1.02P1.13P1.24P1.3锯齿波 SW-PB 三角波 SW-PB 正弦波 SW-PB 方波 SW-PB 图3-1 波形输出控制按键电路 2(波形指示电路的设计 基于AT89C52单片机的波形指示电路的设计是通过按键控制可产生锯齿波、三角波、正弦波、方波,同时采用四种不同颜色的LED指示其对应的波形。基于AT89C52单片机的波形指示电路的设计如图3-2所示。 11 沈阳工业大学工程学院本科生毕业设计(论文) 5P1.46P1.57P1.68P1.7黄色10KR6LED 红色10KR7LED 绿色10KR8LED 蓝色10KR9LED+5V 图3-2 波形指示电路 3.3.2 D/A转换电路的设计 1(DAC0832的简介 模拟量输出通道的作用是将经智能仪器处理后的数据转换成模拟量送出,它是许多智能设备(例如X-Y绘图仪、电平记录仪、波形发生器等)的重要组成部分。模拟量输出通道一般有D/A转换器、多路模拟开关、采样/保持器等组成。 美国国家半导体公司的DAC0832芯片是具有两个输入数据寄存器的8位DAC,它能直接与单片机相连接,其主要特性如下:分辨率8位;电流输出,稳定时间为1us;可双缓冲、单缓冲或直接数字输出;只需在满量程下调整其线性度;精度:?1LSB;功耗2W;参考电压:+10V,-10V;单一电源供电: +5V,+15V。 2(DAC0832的接口电路 DAC0832由8位输入锁存器、8位DAC寄存器、8位D/A转换电路所构成。 是电流输出型D/A转换芯片。在单片机应用系统中,通常需要电压信号,使用时,Rfb、IOUT1、IOUT2 3个引脚外接运算放大器,以便将转换后的电流变换成 [8]电压输出。 DAC0832的电压输出电路原理图如图3-3所示。 12 沈阳工业大学工程学院本科生毕业设计(论文) 图3-3 DAC0832的电压输出电路 D/A转换器的数字量输入端可以分为:不含数据锁存器;含单个数据锁存器;含双数据锁存器三种情况。第一种与微机接口时一定要外加数据锁存器,以便维持D/A转换输出稳定。后两种与微机接口时可以不外加数据锁存器。第三种可用与多个D/A转换器同时转换的场合。 D/A转换器的输出电路有单极性和双极性之分。若外接一个运算放大器为单极性输出,若使用了两个运算放大器为双极性输出。图3-4(a)所示的电路是将一个8位D/A转换器连接成单极性输出方式的电路,其输出输入关系式为 Uout=-Vref/2〈8〉*D (3-1) [9]即输出为全正或为全负。 其数字量与模拟量的关系如图3-4(b)所示。 图3-4 D/A转换器单极性输出电路 在实际使用中,有时还需要双极性输出,如输出为-5V,+5V、-10V,+10V。图3-5(a)给出了将D/A芯片连接成双极性输出的电路图,其原理是:基准电 13 沈阳工业大学工程学院本科生毕业设计(论文) 压Vref经R1向A2提供一个偏流I1,A1的输出U1经R2向A2提供偏流I2,因此运算放大器的输入为偏流I1,I2之代数和。由于R1与R2的比值为2:1,因此,输出电压Vout与基准电压Vref及A1输出电压U1的关系为 Uout=-(2U1+Vref) (3-2) 其数字量与模拟量的关系如图3-5(b)所示。 图3-5 D/A转换器双极性输出电路 在与微处理器接口时,DAC0832可以采用双缓冲方式(双级输入锁存),也可以采用单缓冲方式(只用一级输入锁存,另一级始终直通),或者接成全通的形式[10],再外加锁存器与微机接口,因此,这种D/A转换器使用非常灵活方便。 3(LM324工作原理 LM324管脚功能如图3-6所示。 14 沈阳工业大学工程学院本科生毕业设计(论文) 图3-6 LM324管脚图 LM324时四运放集成电路,它采用14脚双列直插塑料封袋,外形如图3-6所示。它的内部包含四组形式完全相同的运算放大器,除电源共用外,四组运放相互独立。每一组运算放大器可用图中所示的符号来表示,它有5个引出脚,其中“+”、“-”为两个信号输入端,“V+”、“V-”为正、负电源端,“OUT”为输出端。两个信号输入端中,“-”为反相输入端,表示运放输出端OUT的信号与该输入端的为相反;“+”为同相输入端,表示运放输出端OUT的信号与输入端的相位相同。 由于LM324四运放电路具有电源电压范围宽、静态功耗小、价格低廉等优点,因此被广泛应用在各种电路中。 在此设计中采用LM324的三组运放,分别置于第一级输出,第一、二级之间,第二级输出。 3.3.3复位及晶振电路的设计 1(复位电路的设计 影响单片机系统运行稳定性的因素可大体分为外因和内因两部分: (1)外因:射频干扰,它是以空间电磁场的形式传递,在机器内部的导体(引线或零件引脚)感生出相应的干扰,可通过电磁屏蔽和合理的布线/器件布局衰减该类干扰;电源线或电源内部产生的干扰,它是通过电源线或电源内的 [11]部件耦合或直接传导,可通过电源滤波、隔离等措施来衰减该类干扰。 (2)内因:振荡源的稳定性,主要由起振时间、频率稳定度和占空比稳定度决定,起振时间可由电路参数整定。稳定度受振荡器类型和电压等参数影响复位电路的可靠性。 15 沈阳工业大学工程学院本科生毕业设计(论文) 复位电路的基本功能是:系统上电时提供复位信号,直至系统电源稳定后,撤销复位信号。为可靠起见,电源稳定后还要经一定的延时才撤销复位信号,以防电源开关或电源插头分-合过程中引起的抖动而影响复位。图3-7所示的RC复位电路可以实现上述基本功能。 图3-7 复位电路 2(晶振电路的设计 单片机的时钟信号是用来为芯片内部各种微操作提供时间基准。AT89C52的 [12]时钟产生方式:外部振荡和内部时钟方式。 (1)外部震荡 将外部已有的时钟信号引入单片机,常见的几种电路结构如图3-8所示。外部时钟源应是方波发生器,频率应根据所用MCS-51中的具体机型确定。 (a)HMOS和CHMOS型器 (b)HMOS器件的外 (c)CHMOS器件的外 件的外部时钟连接图 部时钟连接图 部时钟连接图 图3-8 外部时钟方式 (2)内部振荡方式 AT89C52芯片内部有一个高增益反相放大器,用于构成振荡器。反相放大器的输入端为XTAL1,输出端为XTAL2,分别为AT89C52的19引脚和18引脚,在 16 沈阳工业大学工程学院本科生毕业设计(论文) XTAL1和XTAL2两端跨接石英晶体及两个电容就可以构成稳定的自激振荡器,如图3-9所示。石英晶振起振后,应能在XTAL2线上输出一个3V左右的正弦波,以便使MCS-51片内的振荡器OSC电路按石英晶振相同频率自激振荡。通常OSC的输出时钟频率为0.5,16MHz,典型值为12MHz或11.0592MHz。电容C01fosc 和C02通常取30pF左右,对振荡频率有微调作用。 图3-9 内部震荡方式 3.3.4电源硬件电路 本论文所需的部分电源需要用+5V直流电源和?12V直流电源供电,其电路如图3-10、3-11所示。把频率为50Hz、有效值为220V的单相交流电压转换为幅值稳定的+5V直流电压。其通过电源变压器、整流电路、滤波电路、稳压电路转换成稳定的直流电压。 由于输入电压为电网电压,一般情况下所需直流电压的数值和电网电压的有效值相差较大,因而电源变压器的作用显现出来起到降压作用。降压后还是交流电压,所以需要整流电路把交流电压转换成直流电压。由于经整流电路整流后的电压含有较大的交流分量,会影响到负载电路的正常工作。需通过低通 [13]滤波电路滤波,使输出电压平滑。稳压电路的功能是使输出直流电压基本不受电网电压波动和负载电阻变化的影响,从而获得稳定性足够高的直流电压。本电路使用集成稳压芯片7805解决了电源稳压问题。 17 沈阳工业大学工程学院本科生毕业设计(论文) +16VLM7812CK 13VinVout+12VT315C1C36C3C37 0.1uF6330n2200uF100n,220VGNDC2C448 0.1uF2200uF LM7912CK 23VinVout-12VC5C6 -16V2.2uF1uFGND 图3-10 ?12V直流电源电路 LM7805CK 12Vin+5V+5V2 GND3T1C5C613330mF/16V220mF/16V ,220V BRIDGE122:14 图3-11 +5V直流电源电路 电路原理图见附录2。 18 沈阳工业大学工程学院本科生毕业设计(论文) 第4章 软件设计 主程序和子程序都存放在AT89C52单片机中。 主程序的功能是:开机以后负责查键,即做键盘扫描及显示工作,然后根据用户所按的键转到相应的子程序进行处理 。 软件设计上,根据功能分了几个模块编程。模块主要有:主程序模块、锯齿波模块、三角波模块、正弦波模块、方波模块、延时子程序模块等。 显示波形模块是利用DAC0832的8位特点,把波形的数据以8位数据的形 [14]势送进CPU中,只要按键就能显示波形。 4.1主程序设计 主程序流程图如图4-1所示。 图4-1 主程序流程图 19 沈阳工业大学工程学院本科生毕业设计(论文) 本软件设计过程中主要实现利用按键来控制不同波形的输出,当按键1按下时,波形发生器就输出锯齿波;当按键2按下时,波形发生器就输出三角波;当按键3按下时,波形发生器就输出正弦波;当按键4按下时,波形发生器就输出方波。通过按键可以以任意循环方式输出不同波形。 4.2 子程序设计 4.2.1 锯齿波程序 锯齿波程序流程图如图4-2所示。 图4-2 锯齿波程序流程图 锯齿波的产生,首先将将00H送入累加器A中,DAC0832输出A中的内容,通过A中数值的加一递升,当A中的内容不为FFH时,由DAC0832输出对应的模拟量;当A中的内容为FFH时,重新给累加器A赋值00H,从而循环产生锯齿波。 20 沈阳工业大学工程学院本科生毕业设计(论文) 4.2.2 三角波程序 三角波程序流程图如图4-3所示。 图4-3 三角波程序流程图 三角波的产生,将00H送入累加器A中,由DAC0832输出对应的模拟量,然后A中数值的加一递升,当A中的内容不为FFH时,由DAC0832输出对应的模拟量;当A中的内容为FFH时,A中数值的减一递减,当A中的内容不为00H时,由DAC0832输出对应的模拟量,当A中的内容为00H时, A中数值的再次加一递升,从而循环产生三角波。 4.2.3正弦波程序 正弦波程序流程图如图4-4所示。 21 沈阳工业大学工程学院本科生毕业设计(论文) 图4-4 正弦波程序流程图 正弦波波形设计通过查表指令得出。 4.2.4方波程序 方波程序流程图如图4-5所示。 22 沈阳工业大学工程学院本科生毕业设计(论文) 图4-5 方波程序流程图 方波的产生,将00H送入累加器A中,输出对应模拟量,调用延时,将FFH送入累加器A中,同样输出对应模拟量,调用延时,循环从而得到方波。 4.2.5延时子程序 延时程序流程图如图4-6所示。 23 沈阳工业大学工程学院本科生毕业设计(论文) 图4-6 延时程序流程图 延时程序如下: DELY:MOV R7,#10H DLY0:MOV R6,#0EDH NOP DLY1:DJNZ R6,DLY1 DJNZ R7,DLY0 RET 方波的上限和下限的延时时间为:7ms S=1+(1+1+2×237+2)×16+1=7648μS (4-1) 24 沈阳工业大学工程学院本科生毕业设计(论文) 25 沈阳工业大学工程学院本科生毕业设计(论文) 第5章 波形测试 5.1 硬件测试波形 1(当按键1拨下时,波形为锯齿波,同时指示灯1发光。波形图如图5-1所示。 图5-1 锯齿波波形图 2(当按键2拨下时,波形为三角波,同时指示灯2发光。波形图如图5-2所示。 图5-2 三角波波形图 26 沈阳工业大学工程学院本科生毕业设计(论文) 3(当按键3拨下时,波形为正弦波,同时指示灯3发光。波形图如图5-3所示。 图5-3 正弦波波形图 4(当按键4拨下时,波形为方波,同时指示灯4发光。波形图如图5-4所示。 图5-4 方波波形图 5.2 各种波形输出结果 Vp-p锯齿波:=1.2v f=800Hz Vp-p三角波:=1.5v f=5.6kHz Vp-p正弦波:=3.5v f=1.3kHz Vp-p方波: =5.2v f=100Hz 27 沈阳工业大学工程学院本科生毕业设计(论文) 第6章 结论 本设计介绍的是基于AT89C52单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,低频信号源的信号幅度和频率都是可以按要求控制的。通过按键控制可产生锯齿波、三角波、正弦波、方波等,同时用LED显示灯指示对应的波形。 在将电路和实物连接的情况下再将程序下载至单片机中进行实际电路的测试时,电路输出的四种波形基本都可以看到,其中锯齿波、三角波以及正弦波的输出误差较大,方波波形较为理想。但是基本上是符合设计要求的。锯齿波和三角波输出波形中杂波成分较大,波形不是较理想,这与实际要求存在一些误差,这些误差的来源主要是由于电路的具体参数选择不好以及程序的时延所造成的。当然在实际电路测量时由于实验环境的干扰也会对输出波形有一些影响,这些影响主要是使输出波形产生寄生的高频杂波,具体表现就是使输出波形质量变坏,波形边厚。在对电路进行调试时适当改变数模转换电路及输出放大电路参数,这样电路输出结果相对较好一些。 本系统设计简单、性能较好,具有一定的实用性。 28 沈阳工业大学工程学院本科生毕业设计(论文) 参 考 文 献 [1] 孙俊逸,盛秋林等(单片机原理及应用[M](北京:清华大学出版社,2001( [2] 蔡美琴(MCS-51系列单片机系统及其应用[M](北京:高等教育出版社,2000( [3] 孙育才,孙华芳等(单片机原理及应用[M](北京:电子工业出版社,2003( [4] 李鸿(单片机原理及应用[M](湖南:湖南大学出版社,2004( [5] 胡汉才(单片机原理及接口技术[M](北京:清华大学出版社,1996( [6] 潘新民,王燕芳(微型计算机控制技术[M](北京:高等教育出版社,2004( [7] 马忠梅(单片机的,语言应用程序设计[M](北京:北京北航出版社,2003( [8] 范立南(单片微机接口与控制技术[M](沈阳:辽宁大学出版社,1996( [9] 童诗白(模拟电子技术基础[M](北京:高等教育出版社,2001( [10] 李华(MCS-51系列单片机实用接口技术[M](北京:北京航空航天大学出版社,1993( [11] 刘守义(单片机应用技术[M](西安:西安电子科技大学出版社,2002( [12] 张毅刚,彭喜元(新编MCS-51单片机应用设计[M](哈尔滨:哈尔滨工业大学出版社, 2003( [13] 陆子明,徐长根(单片机设计与应用基础教程[M](北京:国防工业出版社,2005( [14] 张友德. 单片微型机原理、应用与实践[M]. 上海:复旦大学出版社,1992. [15] 李朝青(单片机原理及接口技术[M](北京:北京航空航天大学出版社,1994. 29 沈阳工业大学工程学院本科生毕业设计(论文) 致 谢 本设计是在周彬和张冬梅老师的精心指导和大力支持下完成的。周老师和张老师以其严谨求实的治学态度、高度的敬业精神、兢兢业业、孜孜以求的工作作风和大胆创新的进取精神对我产生了重要的影响。他们渊博的知识、开阔的视野和敏锐的思维给了我深深的启迪。在设计的过程中,老师对每个细节都做了细致的分析和指导,并提供了很多与该题目相关的重要信息,给了我们莫大的帮助和支持,不但对我的设计有很大的帮助,而且培养了我对科学研究的严谨态度和创新精神。 这次毕业设计也得到了其他老师的热心帮助和大力支持,没有他们的指导和帮助,本设计难以顺利完成。最后,对他们付出的辛勤劳动再次表示诚挚的谢意,同时也对负责此次论文主审老师的辛勤工作表示衷心感谢。 30 沈阳工业大学工程学院本科生毕业设计(论文) 附 录? KEY1 BIT P1.0 KEY2 BIT P1.1 KEY3 BIT P1.2 KEY4 BIT P1.3 按键KEY1:锯齿波 按键KEY2:三角波 按键KEY3:正弦波 按键KEY4:方波 ORG 0000H LJMP MAIN ORG 0030H MAIN:MOV SP,#50H MOV DPTR,#7FFFH MOV P2,#00H MOV A,#00H MOVX @DPTR,A SCAN_KEY1:MOV A,P1 ANL A,#0FH CJNE A,#0EH,SCAN_KEY2 LJMP B1 SCAN_KEY2:MOV A,P1 ANl A,#0FH CJNE A,#0DH,SCAN_KEY3 LJMP B2 SCAN_KEY3:MOV A,P1 ANl A,#0FH CJNE A,#0BH,SCAN_KEY4 LJMP B3 31 沈阳工业大学工程学院本科生毕业设计(论文) SCAN_KEY4:MOV A,P1 ANl A,#0FH CJNE A,#07H,SCAN_KEY1 LJMP B4 ;利用DAC0832产生锯齿波; B1:CLR P1.4 SETB P1.5 SETB P1.6 SETB P1.7 LP: MOV A,#00H MOV DPTR,#4000H MOVX @DPTR,A LP1: INC A MOV P2,A CJNE A,#0FFH,LP1 LJMP SCAN_KEY1 ;利用DAC0832产生三角波; B2:CLR P1.5 SETB P1.4 SETB P1.6 SETB P1.7 UP: MOV A,#00H UP1: INC A MOV P2,A NOP NOP CJNE A,#0F0H,UP1 DOWN: DEC A MOV P2,A NOP NOP 32 沈阳工业大学工程学院本科生毕业设计(论文) CJNE A,#00H,DOWN LJMP SCAN_KEY2 ;利用DAC0832产生正弦波; B3:MOV R1,#00H;取初值 ;在表格里取数送到指定地址 LOOP1:CLR P1.6 SETB P1.4 SETB P1.5 SETB P1.7 MOV A,R1 MOV DPTR,#SETTAB MOVC A,@A+DPTR MOV DPTR,#4000H MOVX @DPTR,A MOV P2,A INC R1;表格加一 CJNE R1,#0FFH,LOOP1 SETTAB: ;正弦表格; DB 80H,83H,86H,89H,8DH,90H,93H,96H DB 99H,9CH,9FH,0A2H,0A5H,0A8H,0ABH,0AEH DB 0B1H,0B4H,0B7H,0BAH,0BCH,0BFH,0C2H,0C5H DB 0C7H,0CAH,0CCH,0CFH,0D1H,0D4H,0D6H,0D8H DB 0DAH,0DDH,0DFH,0E1H,0E3H, 0E5H,0E7H,0E9H DB 0EAH,0ECH,0EEH,0EFH,0F1H, 0F2H,0F4H,0F5H DB 0F6H,0F7H,0F8H,0F9H,0FAH, 0FBH,0FCH,0FDH DB 0FDH,0FEH,0FFH,0FFH,0FFH, 0FFH,0FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH, 0FFH,0FEH,0FDH DB 0FDH,0FCH,0FBH,0FAH,0F9H, 0F8H,0F7H,0F6H DB 0F5H,0F4H,0F2H,0F1H,0EFH, 0EEH,0ECH,0EAH DB 0E9H,0E7H,0E5H,0E3H,0E1H, 0DEH,0DDH,0DAH 33 沈阳工业大学工程学院本科生毕业设计(论文) DB 0D8H,0D6H,0D4H,0D1H,0CFH, 0CCH,0CAH,0C7H DB 0C5H,0C2H,0BFH,0BCH,0BAH, 0B7H,0B4H,0B1H DB 0AEH,0ABH,0A8H,0A5H,0A2H, 9FH, 9CH, 99H DB 96H, 93H, 90H, 8DH, 89H, 86H, 83H, 80H DB 80H, 7CH, 79H, 78H, 72H, 6FH, 6CH, 69H DB 66H, 63H, 60H, 5DH, 5AH, 57H, 55H, 51H DB 4EH, 4CH, 48H, 45H, 43H, 40H, 3DH, 3AH DB 38H, 35H, 33H, 30H, 2EH, 2BH, 29H, 27H DB 25H, 22H, 20H, 1EH, 1CH, 1AH, 18H, 16H DB 15H, 13H, 11H, 10H, 0EH, 0DH, 0BH, 0AH DB 09H, 08H, 07H, 06H, 05H, 04H, 03H, 02H DB 02H, 01H, 00H, 00H, 00H, 00H, 00H, 00H DB 00H, 00H, 00H, 00H, 00H, 00H, 01H, 02H DB 02H, 03H, 04H, 05H, 06H, 07H, 08H, 09H DB 0AH, 0BH, 0DH, 0EH, 10H, 11H, 13H, 15H DB 16H, 18H, 1AH, 1CH, 1EH, 20H, 22H, 25H DB 27H, 29H, 2BH, 2EH, 30H, 33H, 35H, 38H DB 3AH, 3DH, 40H, 43H, 45H, 48H, 4CH, 4EH DB 51H, 55H, 57H, 5AH, 5DH, 60H, 63H, 66H DB 69H, 6CH, 6FH, 72H, 76H, 79H, 7CH, 80H LJMP SCAN_KEY3 ;利用DAC0832产生方波; B4:CLR P1.7 SETB P1.4 SETB P1.6 SETB P1.5 MOV A,#0FFH MOV P2,A LCALL DELY MOV A,#00H MOV P2,A 34 沈阳工业大学工程学院本科生毕业设计(论文) LCALL DELY LJMP SCAN_KEY4 DELY:MOV R6,#10H MOV R7,#0EDH NOP DL1:DJNZ R7,DL1 DL2:DJNZ R6,DL2 RET END 35 沈阳工业大学工程学院本科生毕业设计(论文) U1附 录? 1939XTAL1P0.0/AD038P0.1/AD137P0.2/AD21836XTAL2P0.3/AD3 35P0.4/AD434P0.5/AD533P0.6/AD6C320u932+5VRSTP0.7/AD7 214P2.0/A08R11K22U2P2.1/A091123120+5VP2.2/A10CSVcc+5V2924219PSENP2.3/A11WR1ILE3025318ALEP2.4/A12AGNDWR233126417EAP2.5/A13DI3Xfer1275DAC083216P2.6/A14DI2DI4228615P2.7/A15DI1DI5714波形输出DI0DI6110813P1.0/T2P3.0/RXDVrefDI7211912+12VP1.1/T2EXP3.1/TXDRfbIOUT13121011P1.2P3.2/INT0DGNDIOUT2413P1.3P3.3/INT1514P1.4P3.4/T0615P1.5P3.5/T1716P1.6P3.6/WR817P1.7P3.7/RD 57+12V-12V4611R10 2K+12V R12U3A1K LM324-12V +5V RV1 U3BAT89C5212KR11 1K C1LM324 30PX112M C2 LEDLEDLEDLED30P蓝色黄色红色绿色 R2R3R4R5SW-PBSW-PBSW-PBSW-PB10K10K10K10K方波锯齿波三角波正弦波 R6 10K R7 10K36 R8 10K R9 10K 沈阳工业大学工程学院本科生毕业设计(论文) 37
/
本文档为【简易波形发生器的设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索