为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

VGA彩条信号显示控制器设计

2017-11-12 20页 doc 47KB 13阅读

用户头像

is_348501

暂无简介

举报
VGA彩条信号显示控制器设计VGA彩条信号显示控制器设计 ------------------------------------------------------------------------------------------------ VGA彩条信号显示控制器设计 桂林电子科技大学实训专用纸 编号: EDA技术实训 实训(论文)说明书 2013 年 1 月 4 日 桂林电子科技大学实训专用纸 摘 要 本设计采用EDA技术,通过FPGA芯片实现了实现VGA彩条信号的显示的设计,本文采用VHDL 硬件描述语言描述VGA彩条...
VGA彩条信号显示控制器设计
VGA彩条信号显示控制器 ------------------------------------------------------------------------------------------------ VGA彩条信号显示控制器设计 桂林电子科技大学实训专用纸 编号: EDA技术实训 实训() 2013 年 1 月 4 日 桂林电子科技大学实训专用纸 摘 要 本设计采用EDA技术,通过FPGA芯片实现了实现VGA彩条信号的显示的设计,本文采用VHDL 硬件描述语言描述VGA彩条信号的显示电路,完成对电路的功能仿真。通过按键来实现横彩条、竖彩条、棋盘式方格图案的选择。显示图像分辨率为640×480,刷新率为60Hz。与传统的设计方式相比,本设计由于采用了FPGA芯片来实现,它将大量的电路功能集成到一个芯片中,并且可以由用户自行设计逻辑功能,提高了系统的集成度和可靠性。 关键词:电子设计自动化、可编程门阵列、硬件描述语言、状态机 桂林电子科技大学实训专用纸 Abstract This design used the EDA technology, through the FPGA chip realized the realization of the signal that striped VGA is adopted in this —————————————————————————————————————— ------------------------------------------------------------------------------------------------ paper, the design of the VHDL hardware description language describe VGA striped signal display circuit and the completion of the function of the circuit simulation. By pressing buttons horizontal striped, perpendicular striped, chessboard type pane design choice. Display image resolution for 640 x 480, refresh rate of 60 Hz ac. Compared with the traditional design methods, the design with FPGA chip to achieve, it will be a lot of circuit function integration to a chip, and can be by the user to design logical function, improve the system integrity and reliability. Keywords:Electronic Design Automatic technology,Flied Programmable,Gate Array, Hardware Description Language, State Machine 桂林电子科技大学实训专用纸 1. 绪 论................................................................................................1 1.1 EDA技术的发 展 .............................................................................................. 1 1.2 课程设计的任 务 ............................................................................................. 1 2. 系统设 计 ........................................................................................2 2.1 系统的工作原 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 理 ............................................................................................. 2 2.2 VGA显示原 理 .................................................................................................. 2 2.3 键盘驱 动 ......................................................................................................... 4 3 系统设 计 ..........................................................................................4 3.1图 像信号产生模块的设 计 .............................................................................. 4 3.2 视频输出接口电路部分设 计 ......................................................................... 5 3.3 模式控制与显示部分设 计 ............................................................................. 6 3.4 VGA显示模 块 .................................................................................................. 6 4 课程设计心 得 ..................................................................................9 5 致 谢 ...............................................................................................10 参考文献............................................................................................ 11 附 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 录 ...................................................................................................12 附录A 总原理 图 .................................................................................................. 12 附录B 实验现 象 .................................................................................................. 12 附录C 引脚分布 图 .............................................................................................. 14 附录D 实验程 序 .................................................................................................. 14 桂林电子科技大学实训专用纸 1.绪论 1.1 EDA技术的发展 我们已经进入数字化和信息化的时代,其特点就是各种数字产品 的广泛应用。现代数字产品在性能提高复杂度增大的同时,更新换代 的速度也越来越快,实现这种进步的因素在于芯片制造技术和设计技 术的进步。前者以微细加工技术为代表,目前已进展到深亚微米阶段, 可以在几平方厘米的芯片上集成数千万个晶体管。后者的核心就是 EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算 机技术、智能化技术最新成果而研制成的电子CAD通用软件包。 在现代数字系统的设计中,EDA技术已成为一种普遍的工具。对 设计者而言,熟练的掌握EDA技术,可以极大的提高工作效率,起 到事半功倍的效果。无论是设计集成电路还是普通设计的电子线路, —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 没有EDA工具的支持是难以完成的。EDA技术的使用包括电子工程师进行电子系统的开发的全过程,以及进行开发设计涉及的各个方面。 VGA图像信号发生器的设计涉及到图像数据的处理,对电路的工作速度和性能要求较高,VGA工业标准要求的时钟频率高达25MHz,使用传统的电子电路设计方法是难以实现的。采用专用的视频处理芯片,其设计技术难度大、开发成本高。本文采用CPLD,利用了MAX系列的CPLD高达上百兆的工作频率特性为图像数据处理提供了良好的实时性,其内部集成的数字锁相环为系统的工作时钟提供的良好的稳定性,其内部嵌入的存储器可以存储一定容量的图像信息,丰富的I/O资源可以随即扩展外接大容量存储器的特性,因此由CPLD完成对图像数据的处理及产生行场扫描时序信号。很好地实现了图象数据处理的实时性和稳定性,达到了性能与价格的完美统一。此外,CPLD的电路可重构性,为系统功能更改和升级以及功能扩展提供了很大的设计空间。由微控制器完成功能设置与控制,如键盘扫描,模式选择与显示控制等。 本设计立足系统可靠性及稳定性等高技术要求,采用CPLD芯片实现VGA彩色显示电路,其电路设计比较简单,外围电路少,易于控制和检查,较传统的分离元件实现方式有着明显的优势,尤其是其设计电路实现周期,其抗干扰及调试过程都很简单。 1.2 课程设计的任务 通过一周的时间,小组成员进行学习和讨论,来设计一个VHDL/Verilog 程序来实现以下功能: —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 1. 利用 FPGA 实现 VGA 彩条信号发生器。 2. 可以产生彩色横条, 彩色竖条信号, 彩色棋盘格信号。 3. 由一个按键按照顺序选择不同模式的信号输出。 4. 选用 GW48-PK2系统, 编写程序在 FPGA 上实现并加以验证。 1 桂林电子科技大学实训专用纸 2.系统设计 2.1 系统的工作原理 FPGA是EDA课程设计的整个系统的核心,通过对其编程可输出RGB三基色信号和HS 、VS行场扫描同步信号。当 FPGA接受单片机输出的控制信号后,内部的数据选择器模块根据控制信号选通相应的图像生成模块,输出图像信号,与行场扫描时序信号一起通过15帧D型接口电路送入VGA显示器,在VGA显示器上便可以看到对应的彩色图像。FPGA所需的工作时钟由外部高精度有源晶振提供,系统原理框图如图1.1。 图1.1 2.2 VGA显示原理 工业标准的VGA显示模式为:640×468×16色×60Hz。常见的彩色显示器,一般由CRT (阴极射线管)构成,彩色是由R、G、B(红、绿、蓝)三基色组成,CRT用逐行扫描或隔行扫描的方式实现图像显示,由VGA控制模块产生的水平同步信号和垂直同步信号控制阴极射线枪产生的电子束,打在涂有荧光粉的荧光屏上,产生R、G、B—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 三基色,合成一个彩色像素。扫描从屏幕的左上方开始,由左至右,由上到下,逐行进行扫描,每扫完一行,电子束回到屏幕下一行的起始位置,在回扫期间,CRT对电子束进行消隐,每行结束是用行同步信号HS进行行同步;扫描完所有行,再由场同步信号VS进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,预备下一场的扫描。 桂林电子科技大学实训专用纸 行同步信号HS 和场同步信号VS是两个重要的信号。显示过程中,HS 和VS的极性可正可负,显示器内可自动转换为正极性逻辑。 VGA行同步信号HS和场同步信号VS的时序图如图2.1和图2.2所示, T1为行同步消隐(约为6μs);T2为行显示时间(约为26μs);T3为场同步消隐(两个行周期);T4为场显示时间(480个行周期)。 显示器每扫描完一行,再扫描一下行时会花一定时间来准备,因此要满足时序要求,见图2。 图2.1 VGA行扫描时序图 从0计数到639 同样每扫描完一帧,再扫描下一帧行时也会花一定时间来准备,因此也要满足其时序要求,见图3。 图2.2 VGA场扫描时序图 从0计数到479 对于VGA 显示器的上述五个信号的时序驱动要严格遵循“VGA工业标准”,即640×480×60HZ模式,否则无法显示正确地图象。 VGA工业标准要求的频率: 时钟频率:25.175MHz(像素输出的频率) —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 行频: 31469Hz 场频: 59.94Hz(每秒图像刷新频率) 图象信号显示的颜色种类与表示R、G、B三基色的二进制数位数有关,表1列出了8种颜色的编码方式。 表1 VGA颜色编码 桂林电子科技大学实训专用纸 2.3 键盘驱动 按键模块控制VGA显示模式 图2.3 VGA模式选择 3 系统设计 3.1图像信号产生模块的设计 产生图像信号的核心器件采用Altera公司的Cyclone FPGA芯片EP1C3T144C8N。它具有多达20060个逻辑单元。最大用户I/O数 104个。器件中M4K存储块提供288kbit存储容量,能够被配置来支持多种操作模式,包括RAM、ROM、FIFO及单口和双口模式。Cyclone器件具有高级外部存储器接口,允许设计者将外部单数据率(SDR)SDRAM,双数据率(DDR)、SDRAM和 DDR FCRAM 器件集成到复杂系统设计中,而不会降低数据访问的性能。并且还具有两个可编程锁相环(PLL)和八个全局时钟线,能提供时钟管理和频率合成,实现最大的系统功能。根据VGA显示原理,图象信号产生器的主要功能是:产生时序驱动信号HS、VS及VGA彩色图象编码信号,同时在正确的时序控制下,输出ROM中的象素数据至显示器的VGA接口,进—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 行图象显示。 本文利用Altera公司Quartus?6.0开发平台,遵循自顶向下的设计方法,针对各功能模块,采用VHDL语言对FPGA器件编程,产生HS和VS扫描时序信号及各种图象信号。VGA时序信号是图象显示的关键,行场扫描时序的产生,是利用逻辑编程的方法实现的,即用VHDL编写分频器,计时器模块,来获得T1、T2、T3、T4 时序。当输出数字、彩条信号和棋盘格图象时,由外部12M有源晶振提供时钟输入,其中行频HS:12MHZ ?13?29=31830Hz、场频VS:31830Hz?480×0.93=61.67Hz、T1=1/31830Hz×4/29=25.96us、 T2=1/31830Hz ×5/29=6.04us、T3为两个行周期(T1+T2),T4为480个行周期。 图象信号包括数字、彩条、棋盘格,和ROM中定制的图形等。数字信号和彩条信号的产生是 桂林电子科技大学实训专用纸 按行场方向将屏幕各进行8等分,相当于一个8×8的点阵,在对应位置显示相应颜色即可获得所需图像信号;棋盘格信号是将横彩条和竖彩条相异或获得。ROM中定制的较为复杂的彩色图像,需采用像素点输出,即将图像各像素点的信息存储于ROM中,再以一定的频率输出。FPGA器件ROM的定制有两种方法:第一种方法是利用FPGA器件的嵌入式存储器定制LPM_ROM, 用.MIF文件或.HEX文件对其进行初始化,这种方法获得的ROM最大寻址空间为212,可以存储一幅分辨率为64×64的图像信息;第二种方法是在FPGA逻辑资源的限度内用VHDL语言定制一个ROM,采用CASE语句对其进行初—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 始化,这种方法获得的ROM在存储深度较大时,编译时对时间的开销较大。ROM初始化完成后,在25MHz的时钟频率下输出存储的图像信息。其图象颜色种类的多少取决于存储空间的大小。 ROM定制的图象信息是利用FPGA嵌入的存储器定制LPM_ROM,可以用于存储一幅64×64分辨率的图像信息,数据线宽为3位,地址线12根,采用组合寻址方式,即行地址HSADDRESS占低6位,场地址VSADDRESS占高6位;若要显示更为复杂的图象信息,只需扩展存储器及寻址的数据线宽度,为了保证行地址信号输出与行扫描信号输出同步,场地址信号输出与场扫描信号输出同步,在VHDL编程时,可用25MHz时钟作为进程的启动信号。输出信号的时序波形如图3.1所示。 图3.1 各种图象信号的输出是由数据选择器通过VHDL编程实现的。 3.2 视频输出接口电路部分设计 VGA 接口采用非对称分布的15pin 连接方式,其工作原理是将显存内以数字格式存储的图像(帧) 信号在RAMDAC 里经过模拟调制成模拟高频信号,然后进行输出显 桂林电子科技大学实训专用纸 示,这样VGA信号就不必像其它视频信号那样还要经过矩阵解码电路的换算。从视频成像原理可知VGA的视频传输过程是最短的,所以VGA 接口拥有许多的优点,如无串扰、无电路合成分离损耗等。VGA接口如图3.2所示。 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 图 3.2 3.3 模式控制与显示部分设计 为了实现人机对话,模式控制与显示即人机接口的设计,选用LCD显示器和矩阵键盘,使接口和显示更加友好。要求能根据键盘扫描结果,控制不同的图象信号输出,并进行相应的功能显示。采用单片机89S51作为控制器,对键盘模块和功能显示模块进行控制。用C语言编程,对键盘进行扫描和液晶显示模块的控制。当然也可以对FPGA器件编程,实现对键盘模块和功能显示模块的控制。但需占用FPGA器件的逻辑资源,会对定制图像信息的存储空间造成影响。 本设计采用4×4矩阵式键盘,行、列线占用单片机8个I/O口资源,键盘扫描过程是列扫描行输出,逐列扫描,读取键值,根据读回的值判断所按键的位置,按键消抖采用延时消抖方式,根据键值跳转执行相应功能程序。显示器采用TS-12864-3液晶显示屏,由单片机控制及驱动,显示系统当前工作状态等信息。 3.4 VGA显示模块 通过按键S1来显示VGA的工作模式,若工作模式为显示字符模式,再通过4*4键盘来显示VGA的字符。 表2 VGA图形编码 桂林电子科技大学实训专用纸 图3.3 横条纹流程图 在水平时序中,包括以下几个时序参数:水平同步脉冲宽度;水平同步脉冲结束到水平门的开始之间的宽度;一个视频行可视区域的—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 宽度;一个完整的视频行的宽度,从水平同步脉冲的开始到下一个水平同步脉冲的开始。 表3.1 水平时序表 垂直时序与水平时序类似,包括以下几个不同的时序参数:垂直同步脉冲宽度; 垂直同步结束到垂直门的开始之间的宽度; 一个视频帧可是区域的宽度;一个完整视频帧的宽度,从垂直同步脉冲到下一个垂直同步脉冲的开始。 表 3.2 垂直时序 桂林电子科技大学实训专用纸 显示方案: 在本设计中,设定FPGA开发箱能提供的工作频率为12MHZ,当选用640*480分辨率,刷新率60Hz,这样时钟频率为 800*525*60=25.2MHz,12MHz时钟频率还不够,所以将每行640个像素点再分,每28个像素点看成一个像素点,这样时钟频率为 29*525*60=0.9MHz。将12MHz时钟进行13分频,得到0.9MHz频率,可以看成29*60*525,即每行扫描时间为29个时钟周期,扫描完所有列消耗525个时钟周期,刷新率还是60Hz。 桂林电子科技大学实训专用纸 4 课程设计心得 本实验在课编程技术的基础上,根据VGA原理,运用VHDL描述语言实现了VGA控制设计的方案。 1.再设计的过程中,遇到了很多问题,在设计之初通过查找大量—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 资料,对VGA的原理有了初步的认识,但是在进行行列时序信号的计算时还是有一定的不理解。在指导老师的帮助下,了解了信号的产生。 2.通过老师的指点和自学,我也分析出了本设计存在的不足。并对书上原有代码提出了质疑。 3.实验中我进一步熟悉了数字系统VHDL设计和仿真的流程,加深了对QuartusII软件的使用的理解。 4.通过设计时序控制电路,和彩条产生电路,我更深入地理解了FPGA技术,即同过软件编程的方法来实现硬件时序和组合电路,加快了产品开发的速度,以满足不断变化的市场需求。 桂林电子科技大学实训专用纸 5 致谢 通过本次设计,我知道光靠在课堂上所学的知识,我们无法真正的将所学的各个科目的知识融会贯通,学以致用,思想也越来越迟缓,没有创新的思维和活跃的思想。对我们学生来说,理论与实际同样重要,这是我们以后在工作中证明自己能力的一个重要标准。通过了这次设计,我能更好的理解书本知识,相信也能够更好的运用他它。在以后的学习和工作中,我们还会遇到许多类似的设计,但有了这次的经验,我相信我们都会尽自己的力做得更好~我们在对EDA这门技术上有了更深刻的认识,也从实践的例子中去感受到了EDA设计给我们设计带来的改变与进步。我们不仅掌握QuartusII软件的使用,与此同时,我们还对电子设计的思路有了更多的认识。通过对EDA设—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 计中的TOP-DOWN设计方式的运用,体会到了对于一个大型系统的设计方案选取应从顶向下的设计思路,这与传统的至底向上的设计方式有很大改进,且设计效率得到大大提高。在设计中,我深刻感受到了老师对学生的那种诲人不倦的精神,老师不断耐心地给我们指导使我们少走弯路,顺利完成设计任务。 桂林电子科技大学实训专用纸 参考文献 [1]谭会生.EDA技术基础. 湖南大学出版社,2004 [2]潘松,黄继业.EDA技术实用教程(第三版).科学出版社,2010 [3]谭会生,张昌凡.EDA技术与应用.西安电子科技大学出版社,2001 [4]张凯,林伟.VHDL实例剖析.国防工业出版社,2004 [5]谭会生.EDA技术的综合应用实例与分析.西安电子科技大学出版社,2001 [6]陈明.软件工程学教程.科学出版社,2002 [7]李景华,杜玉远.可编程逻辑器件与EDA技术.东北大学出版社,2000 [8]阎石.数字电子技术基础.高等教育出版社,1998 [9]江国强.现代数字逻辑电路.电子工业出版社,2002 桂林电子科技大学实训专用纸 附录 附录A 总原理图 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 附录B 实验现象 一.横条纹 桂林电子科技大学实训专用纸 二.竖条纹 三.棋盘格子条纹 桂林电子科技大学实训专用纸 附录C 引脚分布图 附录D 实验程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY COLOR IS PORT(CLK,MD:IN STD_LOGIC; HS,VS,R,G,B:OUT STD_LOGIC); END COLOR; ARCHITECTURE behav OF COLOR IS SIGNAL HS1,VS1,FCLK,CCLK: STD_LOGIC; SIGNAL MMD:STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL FS:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL CC:STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL LL:STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL GRBX:STD_LOGIC_VECTOR(3 DOWNTO 1); —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 桂林电子科技大学实训专用纸 SIGNAL GRBY:STD_LOGIC_VECTOR(3 DOWNTO 1); SIGNAL GRBP:STD_LOGIC_VECTOR(3 DOWNTO 1); SIGNAL GRB:STD_LOGIC_VECTOR(3 DOWNTO 1); BEGIN GRB(2)<=(GRBP(2)XOR MD) AND HS1 AND VS1; GRB(3)<=(GRBP(3)XOR MD) AND HS1 AND VS1; GRB(1)<=(GRBP(1)XOR MD) AND HS1 AND VS1; PROCESS(MD) BEGIN IF MD'EVENT AND MD='0' THEN IF MMD="10" THEN MMD<="00"; ELSE MMD<=MMD+1; END IF; END IF; END PROCESS; PROCESS(MMD) BEGIN IF MMD="00"THEN GRBP<=GRBX; ELSIF MMD="01"THEN GRBP<=GRBY; ELSIF MMD="10"THEN GRBP<=GRBX XOR GRBY; ELSE GRBP<="000"; END IF; END PROCESS; —————————————————————————————————————— ------------------------------------------------------------------------------------------------ PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK ='1' THEN IF FS = 13 THEN FS <="0000"; ELSE FS <=(FS+1); END IF; END IF; END PROCESS; FCLK<=FS(3);CCLK<=CC(4); PROCESS(FCLK) BEGIN IF FCLK'EVENT AND FCLK ='1' THEN IF CC=29 THEN CC<="00000"; 桂林电子科技大学实训专用纸 ELSE CC<=CC+1;END IF; END IF; END PROCESS; PROCESS(CCLK) BEGIN IF CCLK'EVENT AND CCLK ='0' THEN IF LL=481 THEN LL<="000000000"; ELSE LL<=LL+1;END IF; END IF; END PROCESS; —————————————————————————————————————— ------------------------------------------------------------------------------------------------ PROCESS(CC,LL) BEGIN IF CC>23 THEN HS1 <='0'; ELSE HS1 <='1';END IF; IF LL>479 THEN VS1<='0'; ELSE VS1<='1';END IF; END PROCESS; PROCESS(CC,LL) BEGIN IF CC<3 THEN GRBX <="111"; ELSIF CC<6 THEN GRBX <="110"; ELSIF CC<9 THEN GRBX <="101"; ELSIF CC<13 THEN GRBX <="100"; ELSIF CC<15 THEN GRBX <="011"; ELSIF CC<18 THEN GRBX <="010"; ELSIF CC<21 THEN GRBX <="001"; ELSE GRBX<="000"; END IF; IF LL<60 THEN GRBY <="111"; ELSIF LL<130 THEN GRBY <="110"; ELSIF LL<180 THEN GRBY <="101"; ELSIF LL<240 THEN GRBY <="100"; ELSIF LL<300 THEN GRBY <="011"; ELSIF LL<360 THEN GRBY <="010"; ELSIF LL<420 THEN GRBY <="001"; 桂林电子科技大学实训专用纸 ELSE GRBY<="000"; —————————————————————————————————————— ------------------------------------------------------------------------------------------------ END IF; END PROCESS; HS<=HS1;VS<=VS1;R<=GRB(2);G<=GRB(3);B<=GRB(1); END behav; ——————————————————————————————————————
/
本文档为【VGA彩条信号显示控制器设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索