为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

基于Multisim的数字时钟设计

2019-02-11 28页 doc 86KB 152阅读

用户头像

is_598835

暂无简介

举报
基于Multisim的数字时钟设计东  北  大  学 课程设计报告 课程设计名称:      数字电子技术课程设计        专题题目:                                      指导教师:                                    学生姓名:                学号:          专    业:计算机科学与技术  班级:        设计日期: 2017 年 7  月 3 日 ~   2017 年 7  月 7日 目录    摘要    3 Abstract ...
基于Multisim的数字时钟设计
东  北  大  学 课程设计 课程设计名称:      数字电子技术课程设计        专题题目:                                      指导教师:                                    学生姓名:                学号:          专    业:计算机科学与技术  班级:        设计日期: 2017 年 7  月 3 日 ~   2017 年 7  月 7日 目录    摘要    3 Abstract    3 第1章  概述    4 1.1    设计思路    4 1.2主要内容    4 第2章 课程设计任务及要求    5 2.1 设计任务    5 2.2 设计要求    5 第3章  系统设计    6 3.1 论证    6 3.2 系统设计    6 3.2.1  结构框图及说明    6 3.2.2  系统原理图及工作原理    7 3.3单元电路设计    8 3.3.1数字时钟秒脉冲信号的设计    8 3.3.2器件    8 3.3.3 计数器设计    9 3.3.4 计时电路设计    11 3.3.5 数字时钟电路设计    12 3.3.6 校时电路    12 3.3.7 整点报时    13 3.3.8 闹钟电路    14 第4章  仿真调试    16 4.1时钟显示    17 4.1.1 时钟显示完整的00:00:00    17 4.1.2 时钟完整显示01:00:00    17 4.1.3 时钟完整显示23:59:59    18 4.1.4 仿真开关校准“秒”电路    18 4.1.5 仿真开关校准“分”电路    19 4.1.6 仿真开关校准“时”电路    19 4.2 整点报时    20 4.2.1  07:59:50—07:59:59报时    20 4.3 闹钟电路    21 4.3.1  7:59:00闹钟设定    21 第5章 结论    22 第6章  利用Multisim14.0仿真软件设计体会    23 参考文献    23 第7章  收获、体会和建议    24 摘要 时间对于人们来说总是那么的宝贵,工作的忙碌性和繁杂性容易使人们忘记当前的时间。于是,20世纪末,,电子技术有了飞快地发展,不仅在通信技术上用数字信号替代模拟信号,数字时钟相比模拟钟能给人一种一目了然的感觉,它不仅可以同时显示时、分和秒,并且可以完成准确的校正。数字时钟具有走时精确,校准方便设计和使用简单的特点。对于Multisim软件进行数字时钟的设计和仿真。首先在Multisim创建好数字时钟的总电路图。然后用该软件中的仿真功能进行仿真。一个数字时钟需要振荡器,计数器,译码器和显示器电路精确时间“小时”“分”“秒”与数字显示,并需要校正电路,使其准确的工作,也可有定时和计时功能。数字钟及扩大其应用,有着非常现实的意义。在本文中,multisim14.0的基础上设计的数字钟,由数字集成电路,数码组成。 关键词:数字钟,振荡器,计数器译码,显示,仿真 Abstract The time for people to always so precious,A busy and complex nature of the work is easy to make people forget the current time。So,  At the end of the twentieth Century,Electronic technology has been rapid development。Not only in communication technology with digital signal instead of analog signal,but  also in our daily life,Digital clock compared to analog clock can give people a feeling of stick out a mile,It not only can display hours, minutes and seconds,And it can accomplish accurate correction.Digital clock is accurate, convenient and simple in design with calibration.For design and simulation with Multisim software in digital clock .We first created Multisim software digital clock circuit diagram of the total.And then use the software’s simulation features in the simulation .a digital clock to the oscillator,a  counter,decoder and display circuit accurately time "hours" "minutes"" seconds" with digital display, and the need for correction circuit make its accurate work, also can have from time to time and timekeeping function. Digital clock and the expansion of its application, has very realistic significance. In this paper, the Multisim14.0 based on the design of the digital clock, is composed of a digital integrated circuit, digital display. Key words: digital clock ,oscillators ,counter  , decoding display , simulation 第1章  概述 数字钟是一种用数字电路技术实现时、分、秒计时的装置,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播。而且与传统的机械钟相比,它具有走时准确、显示直观、无机械传动、无需人的经常调整等优点。数字钟的设计涉及到模拟电子与数字电子技术,其中绝大部分是数字部分、逻辑门电路、数字逻辑表达式、计算真值表与逻辑函数间的关系、编码器、译码器显示等基本原理。现在主要用各种芯片实现其功能,更加方便和准确。Multisim14.0作为一种高效的设计与仿真平台。其强大的虚拟仪器库和软件仿真功能,为电路设计提供了先进的设计理念和方法。 本课题要求设计一个数字电子时钟的控制电路。该电路用于反映电路的时间显示,时钟共有六个显示屏。当电路启动时,以启动时间为初始时间,按正常时间进行计时和报时操作。当需要对时间进行调试的时候需要对时分秒三个部分都进行必要的调时工作。当时间显示为整时(即整点)时需要进行响铃提示操作。 1.1 设计思路  经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分: 1.由秒时钟信号发生器、计时电路和校时电路构成电路。 2.首先由开关控制电路,进而对时间进行调时调分调秒操作,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。分别控制输出的接通与断开以便达到控制各芯片工作的目的。  3.其次将开关电路输出的信号输入到74LS160芯片分别控制显示时分秒的逐步递增。    4.通过级联将74LS160芯片扩展为24进制和十进制的计数器,秒和分之间,分和时之间的进制为60进制。  5.计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。  6.校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时 7.系统应具有整点报时功能,因此,应有译码电路将整点时间识别出来,同时应有报时电路。 8.系统应有定时功能,因此,应有定时输入电路和时间比较电路。 9.系统应具有闹钟功能。 10. 理论部分用Multisim14软件进行仿真,并且达到设计要求。 1.2主要内容  熟悉Multisim14.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim14.0仿真实现数字时钟的功能 第2章 课程设计任务及要求 2.1 设计任务 设计一个数字时钟电路,实现显示时间、调整时间、整点报时、闹铃提醒等功能。 2.2 设计要求 设计数字时钟需要有六个显示屏来显示时间,根据课程设计中提出的需求,设计出的时钟电路应当满足如下要求: 1.启动时钟后,时钟可以进行正常的时间按秒增加; 2.时钟可以正常显示信息; 3.时钟的进制正常,即秒与分之间,分与时之间均为六十进制,当表示小时的部分为24时全体清零; 4.时钟具备整点报时功能,即当显示时间为整点时应当有响铃提示。 5.时钟具备闹铃功能,当时间达到预设时间时应当有响铃提示。 第3章  系统设计 3.1 方案论证 根据课程设计中提到的设计要求,结合本学期课程内容及所学,本方案设计了一个“数字电子时钟控制电路”。考虑到“数字电子时钟控制电路”作为数字电子技术课程的基础实践,遂对该设计进行分析后考虑选取片选如下:四位十进制计数器74LS160,二输入与非门74LS00,二输入正与门74LS08,二输入正或门74LS32,非门74LS04,四输入与或门74LS20,74LS85以及电阻、开关、蜂鸣器等。该主要通过74LS160以及该片选的级联构成所需要的进制计数器并通过各型逻辑门芯片向其他位产生进位信号,构成数字时钟的基本功能,通过74LS85进行比较完成闹铃设定功能。 数字时钟电路主要由时、分、秒三部分组成,秒时钟电路主要由秒脉冲信号发生器、计数器、译码器、数码管组成,秒计数周期60s。同样分时钟电路由计数器、译码器、数码管组成,计数周期为60m,与秒时钟电路不同的是脉冲信号由秒时钟电路提供。时时钟电路采用同样的设计,计数周期为24h。 3.2 系统设计 根据课程设计题目要求,对该电路控制系统进行一系列设计,现说明如下。 3.2.1  结构框图及说明 图3.2.1  数字电子钟框图 说明:数字电子钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(例如北京时间)一致,故需要在电路上加上一个校时电路,同时标准的1HZ时间信号必须做到准确稳定,通常使用555定时器产生脉冲,从而得出数字电子钟的功能结构框图如图3.2.1所示。 3.2.2  系统原理图及工作原理 图3.2.2.1  数字电子钟执行原理流程图 根据各单元电路的设计,将555定时器构成的多谐振荡器、校对电路、六十进制秒、分计数器及二十四进制时计数器、开关控制电路、译码及显示电路、整点报时电路和比较器构成的闹钟电路进行整合调试得到所设计的数字电子钟控制电路的总原理图。 图3.2.2.2 课设总电路图 3.3单元电路设计 3.3.1数字时钟秒脉冲信号的设计  振荡器可由晶振组成,也可以由555与RC组成的多谐振荡器。由555定时器得到1Hz的脉冲,功能主要是产生标准秒脉冲信号和提供功能扩展电路所需要的信号。  由555定时器构成的1Hz秒时钟信号发生器。下面的电路图产生1Hz的脉冲信号作为总电路的初输入时钟脉冲。由555定时器得到1Hz的脉冲,功能主要是产生标准秒脉冲信号和提供功能扩展电路所需要的信号。 利用555多谐振荡器,优点:555内部的比较器灵敏度较高,而且采用差分电路形式,它的振荡频率受电源电压和温度变化的影响很小。缺点:要精确输出1Hz脉冲,对电容和电阻的数值精度要求很高,所以输出脉冲既不够准确也不够稳定. 3.3.2器件分析 74LS160分析 在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D的反馈置数法来实现十进制功能和六进制功能,根据74LS160D的结构把输出端的0110(十进制为6)用一个与非门74LS00引到CLR端便可置0,这样就实现了六进制计数。 由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法。 74LS160真值表 CLR LOAD ENP ENT CLK A B C D QA QB QC QD 0 X X X X X X X X 0 0 0 0 1 0 X X ↑ X X X X A B C D 1 1 1 1 ↑ X X X X 计数               同样,在输出端的1001(十进制为9)用一个与非门74LS00引到Load端便可置0,这样就实现了十进制计数。在分和秒的进位时,用秒计数器的Load端接分计数器的CLK控制时钟脉冲,脉冲在上升沿来时计数器开始计数。时计数器可由两个十进制计数器串接并通过反馈接成二十四制计数器。  由计数器得到的4位二进制码的必须通过译码后转为人们习惯的数字显示。如12:54:30的二进制码为00010010:01010100:00110000。  秒信号经秒计数器、分计数器、时计数器之后,分别得到“秒”个位、十位、“分”个位、十位以及“时”个位、十位的计时输出信号,然后送至显示电路,以便实现用数字显示时、分、秒的要求。“秒”和“分”计数器应为六十进制,而“时”计数器应为二十四进制。采用10进制计数器74LS160来实现时间计数单元的计数功能。 3.3.3 计数器设计 六十进制计数器  对于74LS160计数,如图所示,秒计数电路由 U1 和 U2 俩部分组成。时计数电路由U3和U4两部分组成。秒、时电路都为六十进制计数器,个位计数单元U2为74LS160十进制计数器,当QDQCQBQA变成1010时,清零端置0,计数器的输出被置零,又从0000开始,如此重复。 十位计数单元U1为6进制,当QDQCQBQA变成0110时,通过与非门把它的清零端置0,计数器的输出被置零,跳过0110到1001的状态,又从0000开始,如此就是60进制。 用1HZ的脉冲控制U1的计数,用U1的进位端QCC取非门控制U2的计数,保证U1的QDQCQBQA从1001跳变到0000时,提供给U2一个上升沿脉冲,实现U2的六进制计数。 图3.3.3.1  六十进制计数器 二十四进制计数器  时计时电路与分、秒计时电路相比,首先就是触发信号来源于分计时电路的进位,其计时范围为0-23。故在前面的基础上只需修改及时范围即可。如图所示,时计数电路由 U3 和 U4 俩部分组成。当时个位 U4 计数为 4,U3 计数为 2 时,两片 74LS160复零,从而构成 24 进制计数。 图3.3.3.2  二十四进制计数器 3.3.4 计时电路设计 秒、分计时电路的设计  秒、分计时电路计数周期为60s,触发信号由秒脉冲信号发生器提供,当计数值为59时,下一次触发信号输入时,向前进位并对计数值清零同时开始进入下一个计数周期。 使用六十进制计数器组成秒、分计时电路的设计。 同时用秒计时电路进位端向分计时电路输入进位脉冲,组成一个60×60=3600的秒、分计时电路。 图3.3.4.1  秒、分计时电路设计 时计时电路的设计 在数字电子时钟中,时计时时钟周期都为24h,当触发信号输入时,计数器计数1,累计到23后,下一秒开始清零并向前进位,当计数值达到23时,下一个触发信号输入时,计数器清零同时开始进入下一个计数周期。时计时电路电路设计原理图如下  图3.3.4.2  时计时电路 3.3.5 数字时钟电路设计  数字时钟系统的组成利用上面的六十进制和二十四进制递增计数器子电路构成的数字钟系统如图所示 图3.3.5  数字钟电路系统 以上电路可完成计时周期为24h,可以准确计时,具有“时”(00-23)“分”(00-59)“秒”(00-59)数字显示。 3.3.6 校时电路 数字钟应具有秒校正、分校正和时校正功能,因此,应截断秒个位、分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。校正信号可直接取自信号发生器产生的信号;输出端则与分或时个位计时输入端相连。开关采用PB-NO系列代替校正按钮,当其处于断开状态时,正常输入信号可以顺利通过,故校时电路处于正常计时状态;按下开关时,信号通过,校时电路处于校时状态。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。如图,当开关A,B C断开时,电路进行正常的计时工作;按下A、B、C,就也可以手动校准时、分和秒时间,每次按下开关一次,只改变一个数。其中A是校时开关,B是较分开关,C是校秒开关,按下时,将秒计时器直接置0。考虑到开关电路中到59秒及开始向前进位,故添加反向器,从而实现开关校时电路 图3.3.6.1  校时电路 将开关校时加入到时钟电路中,时钟出现误差时,需校准。当数字钟接通电源或者计时出现误差时,需要校正时间。校时是数字钟应具备的基本功能。 对校时电路的要求是,在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有快校时和慢校时两种,快校时是,通过开关控制,使计数器对1Hz的校时脉冲计数。慢校时是用手动产生单脉冲作校时脉冲下图所示为校时电路、校分电路和校秒电路。其中A是校时用的开关,B是校分用的控制开关,C为校秒用的控制开关,它们的控制功能下表所示。校时脉冲采用分频器输出的1Hz脉冲,当或AB分别为0时可进行快校时。如果校时脉冲由单脉冲产生器提供,则可以进行慢校时。 Multisim14.0仿真软件校时的具体设计方法是:用一个PB-NO开关切换计数功能与校时功能,另一端接计数器的脉冲输入端,开关按下连接函数发生器这一端便可以校时,置于计数器的进位端便是计时。不校正时间时开关都处于弹开状态。 图3.3.6.2  开关校时电路 3.3.7 整点报时 电路应在整点前10 秒钟内开始整点报时,即当时间在59 分50 秒到59 分 59 秒期间时,报时电路报时控制信号。当时间在59 分50 秒到59 分59 秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9 和5,因此可将分计数器十位的Qc 和Qa 、个位的Qd 和Qa及秒计数器十位的Qc 和Qa 相与,从而产生报时控制信号。 报时电路可选74LS00、20、32、04、10组合来构成。 整点报时的功能要求时,每当数字钟计时快到整点时发出声响。由原理可知当分钟计数到一个周期向前进位时,蜂鸣器开始工作。 图3.3.7  整点报时电路 3.3.8 闹钟电路 利用上边的二十四进制和六十进制的计数器作为信息的比较源之一,另外利用四片数值比较器74LS85对小时的个位和十位以及分钟的个位和十位进行比较,如果与设定的时间一样,则产生输出信号,利用7440和7404组成的电路驱动蜂鸣器的鸣叫,鸣叫的时间是一分钟,从**:**:00到**:**:59。 假设:要求上午7时59分发出闹时信号,持续时间为1分钟。7分59分对应数字钟的时个位计数器的状态为(Q3Q2Q1Q0)HI=0111,分十位计数器的状态为(Q3Q2Q1Q0)M2=0101, 分个位计数器的状态为(Q3Q2Q1Q0)M1=1001。若将上述计数器输出为“1”的所有输出端经过与门电路去控制音响电路,可以使音响电路正好在7点59分响,持续1分钟后(8点)停响。所以闹时控制信号z的表达式为Z=(Q2Q1Q0)HI*(Q2Q0)M2*(Q3Q0)M1*M,其中M为上午的信号输出,要求M=1。 用与非门实现可将Z进行变换,即Z= 其逻辑电路如图,74LS20为4输入二与非门,74LS03为集成电路开路(OC门)的2输入四与非门,因OC门的输出端可以进行“线与”,使用时在它们的输出端与电源+5V端之间应接一电阻RL=3.3Ω。由图可知在上午7点59分时,音响电路的晶体管导通,则扬声器发出1KHz的声音。持续1分钟到8点整,晶体管因输入端为0而截止,电路停闹。 指定的时刻发出信号,或驱动音响电路“闹时”;或对某装置的电源进行接通或断开“控制”。不管时闹时还是控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。 图3.3.8.2  闹钟控制电路 采用开关的形式控制74LS85的定时输入与时钟时间比较,当比较数值一致时产生输出信号1,蜂鸣器工作,工作时长为1分钟。如图所示,采用开关控制方便用户对闹钟时间的设定 图3.3.8.1  闹钟设定控制电路 第4章  仿真调试 基于Multisim14的数字电子钟的设计实现了基本的时钟以及对时钟的校准、定时闹钟,整点报时,各个子电路的设计如第三部分子电路设计的结构电路一样,将各个部分连接在一起的整机连调的电路图在multisim14.0平台上进行仿真。 Multisim14.0是一个电路原理设计、电路功能测试的虚拟仿真软件,其元器件库提供数千种电路元器件供实验选用,同时也可以新建或扩充已有的元器件库。有超强板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。Multisim14.0软件进行设计仿真分析的基本步骤为:设计创建仿真电路、原理图电路图、选项的设置、使用仿真仪器、设定仿真分析方法,启动Multisim14.0仿真。 仿真分析开始前可双击仪器图标打开仪器面板。准备观察被测试波形。按下程序窗口右上角的启动/停止开关状态为1,仿真分析开始。若再次按下,启动/停止升关状态为0,仿真分析停止。电路启动后,需要调整示波器的时基和通道控制,使波形显示正常。 在Multisim14.0软件中,根据数字钟的总电路图,设置函数发生器的频率为1Hz,把A开关和B开关都接到与非门的那端,再运行就可以让数字钟自行计数了。如果运行的太慢可以适当调节函数发生器的频率。如果把A开关接到函数发生器上,就是对小时进行校正,如果把B开关接到函数发生器上那就是对分进行校正。小时的计数是从01到12,不是从00到11,但在校正小时位时初始状态仍为00。 振荡器的仿真可以直接运行,然后用示波器观察现象便可。直流稳压电源的仿真中可以看到用万用表测量出关键点的电压5.123V。用示波器A通道和B通道分别显示整流滤波后电压UI的波形和稳压输出电压UO的波形,从示波器显示窗口可以看出:上面一条锯齿波曲线为UI波形,下面一条线为UO波形。如果以上设计的电路通过模拟仿真分析,不符合设计要求,可通过逐渐改变元器件参数,或更改元器件型号,使设计符合要求,最终确定出元器件参数。并可对更改的电路立即进行仿真分析,观察虚拟结果是否满足设计要求。 4.1时钟显示 4.1.1 时钟显示完整的00:00:00 4.1.2 时钟完整显示01:00:00 4.1.3 时钟完整显示23:59:59 4.1.4 仿真开关校准“秒”电路 4.1.5 仿真开关校准“分”电路 4.1.6 仿真开关校准“时”电路 4.2 整点报时 4.2.1  07:59:50—07:59:59报时 当时钟跳变到07:59:50时,整点报时控制电路蜂鸣器接通,整个过程持续10秒,至07:59:59时停止。 图4.1.1.1 报时开始 图4.1.1.2  报时结束 4.3 闹钟电路 4.3.1  7:59:00闹钟设定 当时钟跳变到7:59:00时,闹钟控制电路蜂鸣器接通,模拟闹钟响铃,整个过程持续1分钟,至8:00:00时停止。 4.3.3.1  闹钟开始 4.3.3.2  闹钟结束 第5章 结论 本次数字电子技术课程设计选作了数字电子时钟的控制电路设计,课题要求设计一个数字时钟电路,实现显示时间、调整时间、整点报时、闹铃提醒等功能。设计过程中采用在电脑的电路模拟软件上设计电路图,并在电脑上调试出正确结果,进行记录并在最后将自己的实验做成报告上交的形式开展。 根据这次要求的各项任务指标,启动时钟后,时钟可以进行正常的时间按秒增加时钟可以正常显示信息,时钟的进制正常,秒与分之间,分与时之间均为六十进制,当表示小时的部分为24时全体清零,具备整点报时功能,显示时间为整点时应当有响铃提示,具备闹铃功能,当时间达到预设时间时应当有响铃提示。 在仿真实验中,通过对74LS160为主的一系列芯片进行级联与组合,设计得到的电路在软件上仿真模拟完全达到了设计要求。控制电路的仿真和性能测试是在Multisim 14软件上进行的,实验结果非常正确和明显。 对强化学生的数字电子技术的知识水平,提高学生的自主思考自主设计自主实践能力,以及让学生提升对报告规范性的认识很有帮助。 由震荡器、秒计数器、分计数器、时计数器、显示数码管设计了数字时钟电路,经过仿真得出较理想的结果,说明电路图及思路是正确的,可以实现所要求的基本功能:计时、显示精确到秒、时分秒校时、整点报时和闹钟的功能。 调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。Multisim14.0软件有时会出问题,在理论上可行的电路在调试中未必能显示出来,这就需要耐心、仔细地分析和解决问题,不断地尝试才能得出正确的答案。 第6章  利用Multisim14.0仿真软件设计体会 通过对软件Multisim14.0的学习和使用,进一步加深了对数字电路的认识。在仿真过程中遇到许多困难,但通过自己的努力和同学的帮助都一一克服了。首先,连接电路图过程中,数码管不能显示,后经图形放大后才发现是电路断路了。其次,布局的时候因元件比较多,整体布局比较困难,因子电路不如原电路直观,最后在不断努力下,终于不用子电路布好整个电路。 调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。在整个设计中,74LS160的接线比较困难,反复修改了多次,在认真学习其用法后采用归零法和置数法设计出60进制和24进制的计数器。 同时,在最后仿真时,预置的频率一开始用的是1hz,结果仿真结果反应很慢,后把频率加大,这才在短时间内就能看到全部结果。总之,通过这次对数字时钟的设计与仿真,为以后的电路设计打下良好的基础,一些经验和教训,将成为宝贵的学习财富。 参考文献 1、Multisim的用户手册 2.李景宏,马学文《电子技术实验教程》,沈阳:东北大学出版社.2002 3.王永军,李景华编著《数字逻辑与数字系统》,北京:电子工业出版社,2002 4.高吉祥,易凡编著《电子技术基础实验与课程设计》,北京:电子工业出版社,2002 5、毛哲等主编,《电路计算机设计仿真与测试》,华中科技大学出版社,2003.04。 6、卿太全等主编,《常用数字集成电路原理与应用》,人民邮电大学出版社。 2006.01 7、Van Aalkenburg,M.E.Network Analysis Prentce-Hall,Inc,1974。 8、 阎石《数字电子技术基础》,高等教育出版社,2008.05。 第7章  收获、体会和建议 1.数字电子技术是我们计算机科学与技术专业必修的一门专业课,我们进行的数字电子课程设计是我们利用理论去联系实际的最好途径,是一次极其有意义的实践,它让我们将书本上获得的知识结合到实际的分析问题与解决问题中去,使我们更加牢固的掌握了分析与设计的基本知识与理论,更加熟悉各种不同规模、不同功能的逻辑器件,了解逻辑电路分析和设计的基本方法,为以后的深入学习奠定了坚实基础。经过这次的数字电子技术课程设计,以及之前一个月左右的数字电子技术实验课,我的数字电子技术知识获得了极大的巩固提升,同时让我接触、慢慢熟悉并一定程度上掌握了Multisim 14这个仿真模拟软件,更重要的是这次课程设计锻炼了我的实践动手能力,最后的报告也提高了我对标准格式的报告的认识,对我今后的一系列实验报告乃至毕业设计的报告都有很好的借鉴意义。实验开始时我选择了数字电子时钟控制电路这一课题,便开始在手头乃至图书馆的书籍中查阅资料,刚开始时对这一设计课题虽有灵感却又感觉无从下手,但是通过不断的了解、借鉴他人的做法,查看一定量的资料,和其他同学进行交流,渐渐地感觉自己可以尝试进行仿真实验了。于是我便通过参考其他设计决定使用十进制计数器74LS160级联来改变进数制作为数字时钟控制电路的基础电路,设计好方案后便用Multisim 14进行仿真。这次课程设计的功能采取逐一增加的方法,这种好处就是可以将一些附加功能逐次增加的同时又不会影响时钟电路的核心设计,在设计过程中如果还有灵感可以尝试再次添加。 2.设计中的不足:生活中我们见到的大多数电子钟都是靠指数端实现循环的,因此不会出现时钟跳变现象,那么这个设计还能够改进的更好。在设计中很多逻辑门事实上是可以简化的,因而可以些许简化电路图。所以如果在时间允许的条件下可以对这些以及其他的不足进行解决,从而令整个系统更加可靠,功能更加丰富。 3.总的说来,这次课程设计还是比较顺利完成的。在测试阶段遇到一点问题从而花费了点时间进行调试,其他阶段还是完全符合预定的。这次课程设计,虽然短暂,但却是我第一次自主尝试设计构思的数字电路设计,是将以前书本上的内容第一次完完全全的在实践从零开始自己实现。在设计过程中,遇到了书本中不曾学到的情况,在此次课程设计的结尾衷心感谢老师们为我提供这次自主设计的机会,和在我陷入困境时提供的悉心指导。
/
本文档为【基于Multisim的数字时钟设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索