为了正常的体验网站,请在浏览器设置里面开启Javascript功能!

心电监护仪

2017-09-03 45页 doc 215KB 106阅读

用户头像

is_729658

暂无简介

举报
心电监护仪心电监护仪 专 业: 电子信息工程 二〇一〇年六月 天津职业技术师范大学本科生毕业设计 基于单片机的心电监护仪 Based on MCU ecg monitoring instrument 2010 年 6 月 摘 要 心脏疾病是威胁人类健康的主要疾病之一,而心电监护仪能即时发现心脏活动的异常状态,对心脏疾病的预防、早期诊断和治疗具有非常重要的意义。 本文根据现有心电监护仪的不足,设计了一种基于AT16单片机的心电监护仪,该系统以ATmega16微处理器为核心,采集到的心电信号经过单片机,可得出被测者的心率,...
心电监护仪
心电监护仪 专 业: 电子信息 二〇一〇年六月 天津职业技术师范大学本科生毕业 基于单片机的心电监护仪 Based on MCU ecg monitoring instrument 2010 年 6 月 摘 要 心脏疾病是威胁人类健康的主要疾病之一,而心电监护仪能即时发现心脏活动的异常状态,对心脏疾病的预防、早期诊断和治疗具有非常重要的意义。 本文根据现有心电监护仪的不足,设计了一种基于AT16单片机的心电监护仪,该系统以ATmega16微处理器为核心,采集到的心电信号经过单片机,可得出被测者的心率,并且还可通过外接示波器,显示出心电图,具有性能强、功耗低、成本低等优点。 其主要的工作内容如下:首先介绍了心电信号产生的原理、心电图中各波段的意义和心电信号的提取方法,分析了心电信号的特点及干扰因素,给出了心电信号调理电路的设计要求,并设计了心电监护仪的总体结构。设计了心电监护仪的整个硬件电路,包括心电信号提取及调理电路和心电信号采集及处理电路两大部分。以运算放大器AD620、设计了调理电路中的前级放大电路、和后级放大电路,设计了0(05Hz高通滤波电路、100Hz低通滤波电路和50Hz陷波电路,并通过软件对前级和后级放大电路的传输特性进行了仿真研究。以ATmega16为硬件平台设计了心电信号采集及处理电路,并对主要的电路单元进行了介绍。 最后,对心电监护仪进行了测试,实验结果表明系统能较好地实现信号采集、数据处理和显示等功能,证明了心电监护仪设计方案的可行性。 关键词: 心电监护仪;ATmega16;心电信号;心电信号提取及调理电路 ABSTRACT Heart disease is the main threat to human health and disease of heart function of electrocardiogram abnormalities of the activities that instant, to heart disease prevention, early diagnosis and treatment has the extremely vital significance. According to the existing shortage of electrocardiogram machine, introducing embedded system technology, design a kind of AT16 based on SCM ecg machine, this system to ATmega16 microprocessors as the core, with resistance, low power consumption, low cost advantage. The main content of the work are as follows: firstly introduces the principle of ecg signals, and the significance of the band of ecg signal and the extraction method, analyzes the characteristics of ecg signals and interference factors, presents the design of ecg signal circuit design requirements, and the overall structure of electrocardiogram machine. The design of the hardware circuit electrocardiogram machine, including electrocardiosignal extraction and regulate circuit and signal acquisition and processing circuit. To design the amplifier AD620 and circuit in the former stage amplifier circuit, drive circuit and right after the amplifier circuit design, the level of 0.05 high-pass filter circuits, speed 100Hz low-pass filter circuits and isolator, and through the notch circuit and software of the amplifier circuit after transmission characteristics simulations were performed. In the design of hardware platform ATmega16 for ecg signal acquisition and processing circuit, and the main circuit of the unit are introduced. Finally, the electrocardiogram machine were tested, the experimental results show that the system can achieve signal acquisition, data processing and display function, and proved the feasibility of the scheme design of electrocardiogram machine. Key Words:electrocardiogram machine; ATmega16; Ecg signal; Ecg signal extracted and regulate circuit 目 录 1 引言 ................................................................................................................................ 1 2 心电基础知识与心电监护仪整体设计 ........................................................................ 3 2.1心电图基础.................................................................................................................. 3 2.2心电信号特征及干扰.................................................................................................... 4 2.3心电信号调理电路设计与要求...................................................................................... 5 2.4心电监护仪整体结构设计............................................................................................ 6 3 心电信号提取及调理电路设计 .................................................................................... 7 3.1前置放大电路的设计.................................................................................................... 7 1.1方案一:三运放差分电路 ................................................................................... 7 3. 3.1.2方案二: 用INA128仪用仪表放大器来实现 ........................................................ 8 3.1.3方案三:利用AD620来设计放大电路 .................................................................. 9 3.1.4方案四:利用MAX4194来设计放大电路 ..........................................................10 3.1.5方案选择 .......................................................................................................... 11 3.3高通滤波电路 ............................................................................................................. 11 3.4工频50Hz的滤除电路 ................................................................................................12 3.5电压抬升电路 ............................................................................................................13 4 心电信号采集及处理电路设计 ...................................................................................14 4.1ATmega16单片机介绍 .................................................................................................14 4.2单片机基本工作电路...................................................................................................17 4.2.1电源提供 ..........................................................................................................17 4.2.2复位电路 ..........................................................................................................17 4.2.3时钟电路 ..........................................................................................................18 4.3单片机的模数转换器...................................................................................................19 4.4液晶显示模块 .............................................................................................................19 5 系统软件设计 ...............................................................................................................21 结 论 ..............................................................................................................................22 参考文献 ..............................................................................................................................23 附录1:电路综合原理图 ...................................................................................................24 附录2:系统程序 ...............................................................................................................26 致 谢 ..............................................................................................................................34 天津职业技术师范大学2010届本科生毕业设计 1 引言 心脏疾病已成为危害人类健康的主要疾病之一。据统计,世界上每年平均有几百万人死于心脏疾病,而研究心脏疾病的预防、诊断和治疗方法一直是各国医学界的重要课题。及时了解人体心脏的活动状况,在预防、诊断心脏疾病方面具有十分重要的意义。目前,临床诊断心脏疾病主要通过常规心电图。常规心电图是病人在静卧情况下由心电图仪下来的心电活动图,主要反映了额面和横面上的心电变化,可以从多个角度观察到心脏的活动情况。对于心肌梗塞、早搏、左前支阻塞和左后分支阻塞等疾病能进行定位诊断,是心脏疾病诊断的重要手段之一。 心电监护仪被设计用来放大和记录由于心脏电激动而在身体表面产生的被测电位差。心电监护仪所记录的每,次活着的“心脏跳动”——心电图表现为一个连续的波形,这些波形对应着心脏的基本电活动。 从1903年Einthoven发明第一台弦线式心电图仪开始到现在,心电监护仪已经过了近百年的发展历程,其技术逐渐地趋于完善。二十世纪30年代,弦线式心电监护仪才逐渐被电子管和晶体管放大式心电监护仪所代替,但后者都比较笨重,故障率高(很快被淘汰。自从二十世纪六十年代初期以来,已经进行了靠计算机来完成心电图波形的测量以及随后的分析处理的研究。80年代初美国Marquette公司首先推出数字化心电监护仪,从此心电图进入了数字化、自动化、网络化管理的新时代。 目前,在国外和国内应用比较广泛的心电监护系统主要有:?以色列CardGuard公司的无线心电监护仪PMP。它是一个手持式的心电监护仪,通过蓝牙技术与PC机进行通讯,然后通过PC机将心电数据上传给网络监护中心。?TlE公司研制的Heart ViewTMl2导联心电监护仪。整个系统由一个心电信号记录单元和通讯单元组成,心电记录单元可以记录2(5秒到lO秒的心电数据,然后使用者将记录单元放在通信单元上,将记录的心电图通过接口转换经电话线送往医院监护中心。?广州吉量医疗科技有限公司生产的口袋式心电监护仪。该系统采用蓝牙技术,以掌上电脑和PC机作为操作平台,具有手持化、移动化、网络化、数字化和无线化的特点,使用携带方便。心电监护仪可以通过Intemet网络和医院内部的局域网接入医院服务器,实现高质量的远程及医院内部心电会诊。其心电采集器和PC机、PDA之间通过蓝牙技术传输心电数据。?卡迪欧公司开发的“护心神"电话传输心电监护系统(TTM)。该系统采用电耦合方式通过电话传输心电图,实现无差错、无失真、数字式传送心电图。护心神是一个可随身携带的微型心电采集系统,它可随时随地采集和存储病人的心电信号,并能连接电话适配器和电话线,通过电话网络传输心电数据。 1 天津职业技术师范大学2010届本科生毕业设计 本文的研究内容是基于AT16的心电监护仪研究与设计,概括起来主要有以下方面的工作: (1)介绍心电信号产生的原理和心电信号的提取方法,分析心电信号的特征及干扰因素,给出心电信号调理电路的设计要求,并设计心电监护系统的总体结构。 (2)设计心电监护系统的整个硬件电路,并对各单元电路进行设计,包括心电信号提取及调理电路部分和心电信号采集及处理电路部分。 (3)研究心电监护系统软件设计液晶驱动和显示,由于硬件的限制,液晶显示的只是被测者的心率,采集到的心电信号,必须通过示波器才可显示出心电图。 2 天津职业技术师范大学2010届本科生毕业设计 2 心电基础知识与心电监护仪整体设计 心电图(ECG)是记录心脏电活动状态随时间变化的曲线图形,它是临床诊断心脏疾病的重要依据,对了解人体心脏的活动状态具有十分重要的意义。通过心电图可以分析出人体心脏的多种心律失常状态,而这些状态与心脏疾病有着非常密切的联系。本章主要介绍心电图基础、心电信号特征及干扰、心电信号调理电路设计要求等方面的内容,并对心电监护仪的总体结构进行设计。 2.1心电图基础 心脏是循环系统中重要的器官。由于心脏不断地进行有节奏的收缩和舒张活动,血液才能在闭锁的循环系统中不停地流动。心脏在机械性收缩之前,首先产生电激动。心肌激动所产生的微小电流可经过身体组织传导到体表,使体表不同部位产生不同的电位。如果在体表放置两个电极,分别用导线联接到心电监护仪(即精密的电流计)的两端,它会按照心脏激动的时间顺序,将体表两点间的电位差记录下来,形成一条连续的曲线,这就是心电图。 正常心电图由一系列波群组成,按照医学界规定,将一个心动周期中的波形划为P波、QRS波、T波和U波,典型的心动周期波形图如图2-1所示。 图2-1心动周期波形图 在一个心动周期中,各部分的波形描述如下: (1)P波:反映左右两心房的电激动过程,心脏的激动最先源于窦房结, 3 天津职业技术师范大学2010届本科生毕业设计 并传导至心房,使之发生激动。 (2)P(R段:反映电激动从心房传至心室的过程,该段信号极其微弱。 (3)QRS波群:反映左右两心室的电激动过程。典型的QRS波群包括三 个紧密相连的波,其总时间不超过O(1s,并合称之为QRS波群。 (4)S-T段:心室激动产生QRS波群后至心室复原,再度在人体体表产生 明显电位差(T波)之前的一段水平线。 (5)T波:描述心室肌激动后复原时所产生的电位差。 (6)U波:在T波后面有时会有一个很小的波动,它反映心肌激动后产生 的电位差。 2.2心电信号特征及干扰 一般电信号主要有幅度、频谱和信号源阻抗等特征,而作为生物电的心电信号主要有以下特征: (1)微弱性。心电信号是直接从人体体表提取的生物电信号,信号十分微弱,其幅值仅有0(05mV-5mV,典型值为lmV。 (2)低频性。人体心电信号的频率较低,其频率范围一般为0(05Hz-100Hz,且 (5Hz-35Hztlol。 频谱能量主要集中在0 (3)高阻抗性。心电信号的信号源是人体,其阻抗较大,通常为几千欧姆到几十千欧姆,这会造成心电信号提取时的误差和失真。 (4)不稳定性和随机性。人体是在内部环境与外部环境相适应的条件下维持新陈代谢的,为适应外部环境的变化,人体内各种系统的活动都会在相互影响中不断调整,以便与外部环境保持平衡,同时遗传等因素也会造成人体的个体差异性,这使得人体心电信号表现出不稳定性和随机性。 由于以上特征,心电信号在提取和传输过程中极易受到干扰,因此分析各种干扰信号的来源具有非常重要的意义,以便在设计电路时采取有效措施滤除。 心电信号中的干扰成分。心电信号的干扰一般有以下几种: (1)工频干扰。由于日常供电网络的存在,50Hz工频干扰是最常见的干扰,它是心电信号主要的干扰源之一。50Hz工频干扰主要通过人体和测量系统输入导线的电容藕合,以位移电流的形式引入,其强度足以淹没心电信号。 (2)电极极化电压干扰。心电信号是通过紧贴在人体体表的电极提取的,而与电极接触的是电解质溶液(导电膏、汁液或组织液等),这会形成一个金属与电解质溶液的界面。由于电化学的作用,在二者之间会产生一定的电位差,称为极化电压。极化电压的幅度一般较高,在几毫伏到几百毫伏之间。当两个电极的状态不能保持对称时,极化电压就会产生干扰,特别是在电极与皮肤接触不良以致脱 4 天津职业技术师范大学2010届本科生毕业设计 落的情况下更为严重,而且电极在皮肤表面的移动也会引起电位差变化。 (3)肌电干扰。肌肉的兴奋与收缩会引起生物电活动,而这些生物电将在人体表面产生一定的电位差。由于心电信号必须通过贴在人体表面的电极进行提取,因此心电信号中会混入肌电干扰信号。肌电干扰信号是一种快速变化的电压信号,其频率范围为20Hz一5000Hz。 (4)测量设备本身的干扰。信号处理所采用的电子设备本身也会产生噪声,这类干扰一般具有较高的频率特性,可通过低通滤波器滤除。 (5)高频电磁场干扰。随着无线电技术的发展,各种频段的无线电广播、电视发射台、通讯设备、雷达等电子设备的工作使空间存在着大量的电磁波。 这些高频电磁干扰可通过测量系统与人体连接的导线引入,并引起测量结果的不稳定,严重时会使测量系统不能J下常工作,必须加以消除。 2.3心电信号调理电路设计与要求 由于以上所述的心电信号采集过程中的干扰,因此必须设计相应的调理电路。 (1)电源工频干扰主要是以共模形式存在,幅值可达几V甚至几十V,所以心电放大器必须具有很高的共模抑制比。 (2)电极极化电压引起基线漂移是由于测量电极与生物体之间构成化学半电池而产生的直流电压,最大可达300mV,因此心电放大器的前级增益不能过大,而且要有去极化电压的RC常数电路。 (3)由于信号源内阻可达几十KΩ、乃至几百KΩ,所以,心电放大器的输入阻抗必须在几MΩ以上,而且 CMRR也要在60dB以上(目前的心电监护仪共模抑制比一般均在89dB)。同时要在无源、有源低通滤波器中有效地滤除与心电信号无关的高频信号,通过系统调试,最后得到放大、无噪声干扰的心电信号。 基于上述要求,心电信号调理电路设计主要是由四部分构成: (1)放大电路。其中前置放大器是硬件电路的关键所在,设计的好坏直接影响信号的质量,从而影响到仪器的特性; (2)高通滤波电路。常见的心电频率一般在0.05--100Hz之间,能量主要集中在17Hz附近,幅度微小,大概为5mV,临床监护有用频率为0.5,30几HZ,因此设计保留0.5HZ的信号。 (3)工频50Hz的陷波电路。本设计采用了双T带阻滤波电路,它能够对某一频段的信号进行滤除,用它能有效选择而对电源工频产生的50Hz的噪声进行滤除。 5 天津职业技术师范大学2010届本科生毕业设计 (4)电压抬升电路。经过一系列信号调理后,陷波输出的心电信号为交变信号,而本系统中单片机内置 ADC转换输入电压范围为0~5V,因此,在送入ADC之前还需进行电平调理。ADC转换输入电压范围为0~5V,因此,在送入ADC之前还需进行电平调理。 2.4心电监护仪整体结构设计 本次设计的基于AT16的心电监护系统总体结构如图2-2所示。 心工 人电 前电频 体电50HZ高置压单片机 外围 心电信号 体极 通放抬 导连线 表 滤AT16 电路 大升 波 陷 波 液晶显示屏 示波器 [1]心电信号提取及调理电路 [2]心电信号采集及处理电路 图2-2心电监护系统总体结构 基于AT16的心电监护系统主要分为两大部分:心电信号提取及调理电路部分和心电信号采集及处理电路部分。心电信号提取及调理电路部分包括心电电极及导联线、、前置放大电路、高通滤波电路、工频50HZ陷波电路、电压抬升电路。其中,心电电极及导联线的功能是提取和传输心电信号;前级和后级放大电路用于心电信号的放大;滤波陷波电路的功能是滤除心电信号中的低频、高频和50Hz工频干扰信号。心电信号采集及处理电路部分主要由嵌入式微处理器、液晶显示屏(带触摸屏)、通信接口组成。其中,嵌入式微处理器负责心电信号的采集及各种数据的处理;液晶显示屏主要用于分析结果的显示,以及人机交互等;通信及JTAG调试接口则用于心电监护系统与其它设备或网络通信,以及系统的软件调试等。心电图的显示则是由心电信号直接接示波器显示出来。 6 天津职业技术师范大学2010届本科生毕业设计 3 心电信号提取及调理电路设计 3.1前置放大电路的设计 根据心电信号的特点,前置级应该满足下述要求: (1)高输入阻抗。被提取的心电信号是不稳定的高内阻的微弱信号,为了减少信号源内阻的影响,必须提高放大器输入阻抗。一般情况下,信号源的内阻为100kΩ,则放大器的输入阻抗应大于1MΩ。 (2)高共模抑制比(CMRR)。人体所携带的工频干扰以及所测量的信号以外的生理信号的干扰,一般为共模干扰,前置级须采用CMRR高的差动放大形式,以减少共模干扰的传递。 (3)低噪声、低漂移。主要作用是对源信号的影响小,拾取信号的能力强,能够防止输出饱和、使输出稳定。 3.1.1方案一:三运放差分电路 如图3所示的同相并联三运放结构,这种结构可以较好地满足上面三条要求。放大器的第I级主要用来提高整个放大电路的输入阻抗。第II级采用差动电路用以提高共模抑制比。 图3 -1 三运放差分放大电路 电路中输入级由A3、A4两个同相输入运放电路并联,再与A5差分输入串联的三运放差分放大电路构成,其中A1、A2是增加电路的输入阻抗。电路优点:差模信号按差模增益放大,远高于共模成分(噪声);决定增益的电阻(R1、R Kcmrp、R3)理论上对共模抑制比没有影响,因此电阻的误差不重要。 电路对共模输入信号没有放大作用,共模电压增益接近于零。这不仅与实际的共模输入有关,而且也与A3和A4的失调电压和漂移有关。如果A3和A4有 7 天津职业技术师范大学2010届本科生毕业设计 相等的漂移速率,且向同一方向漂移,那么漂移就作为共模信号出现,没有被放大,还能被第二级抑制。这样对于A3和A4的漂移要求就会降低。A3和A4前置放大级的差模增益要做得尽可能高,相比之下,第二级(A5)的漂移和共模误差就可以忽略,对放大器的要求就可以大大降低。当R3=R4,R5=R6时,两级的总增益为两个差模增益的乘积,即: RpRpAvd=((+2R1)/)(R6/R4) 由此可知,上述电路具有输入阻抗高,共模抑制比高等优点,可作为通用仪用放大器使用。 3.1.2方案二: 用INA128仪用仪表放大器来实现 一般说来,集成化仪用放大器具有很高的共模抑制比和输入阻抗,因而在传统的电路设计中都是把集成化仪器放大器作为前置放大器。绝大多数的集成化仪器放大器,特别是集成化仪器放大器,它们的共模抑制比与增益相关:增益越高,共模抑制比越大。集成化仪器放大器作为心电前置放大器时,由于极化电压的存在,前置放大器的增益只能在几十倍以内,这就使得集成化仪器放大器作为前置放大器时的共模抑制比不可能很高。可在前置放大器的输入端加上隔直电容(高通网络)来避免极化电压使高增益的前置放大器进入饱和状态,但由于信号源的内阻高,且两输入端不平衡,隔直电容(高通网络)使等共模干扰转变为差模干扰,严重地损害了放大器的性能。 为了实现心电信号的放大,设计电路如图4所示,其中: (1)前级采用运放A1和A2组成并联型差动放大器。理论上,在运算放大器为理想的情况下,并联型差动放大器的输入阻抗为无穷大,共模抑制比也为无穷大。另外,在理论上并联型差动放大器的共模抑制比与电路的外围电阻的精度和阻值无关。 (2)阻容耦合电路放在由并联型差动放大器构成的前级放大器和由仪器放大器构成的后级放大器之间,这样可为后级仪器放大器提高增益,进而提高电路的共模抑制比提供了条件。同时,由于前置放大器的输出阻抗很低,同时又采用共模驱动技术,避免了阻容耦合电路中的阻、容元件参数不对称(匹配)导致的共模干扰转换成差模干扰的情况发生。 (3)后级电路采用仪器放大器,将双端信号转换为单端信号输出。由于阻容耦合电路的隔直作用,后级的仪器放大器可以做到很高的增益,进而得到很高的共模抑制比。 8 天津职业技术师范大学2010届本科生毕业设计 图3-2 采用INA128进行心电信号的放大 从理论上计算整个电路的共模抑制比为: (3-1) CMRR,CMR1,CMR2Total AA1d2d ,,A1cA2c A1 dCMR,,21 ,A1d,CMR2 或 CMRR,20lgA,CMRRTotal1d2 式中:CMR Total或CMRR Total,放大器的总共模抑制比;CMR1,第一级放大器的共模抑制比;CMR2或CMRR2,第二级放大器的共模抑制比;A1d、A1c、A2d和A2c,分别为第一级放大器和第二级放大器的差模增益和共模增益。 3.1.3方案三:利用AD620来设计放大电路 AD620是一种只用一个外部电阻就能设置放大倍数为1—1000的低功耗、高精度仪表放大器。尽管AD620由传统的三运放放大器发展规律而成,但一些主要性能却优于三运放构成的仪表放大器设计,电源范围宽(?2.3V--?18V),设计体积小,功耗非常低(最大供电电流仅为1.3mA)因而使用于低电压、低功耗的应用场合。图3-3、3-4分别是AD620的引脚图和结构简图。 图3-3 AD620芯片引脚图 9 天津职业技术师范大学2010届本科生毕业设计 AD620的工作原理:AD620是在传统的三运放组合方式改进的基础上研制的单片仪用放大器。输入三极管Q1和Q2提供了唯一双极差分输入,因内部的超β处理,它的输入偏移电流比一般情况低10倍。通过Q1-A1-R1环路和Q2-A2-R2环路的反馈,保持了Q1,Q2集成极电流为常量,所以输入电压相当于加在外接电阻的两端,从输入到A1/A2输出的差分放大倍数为G=(R1+R2)/Rg+1。Rg 由A3组成的单位增益减法器消除了任何共模成分,而产生一个与REF管脚电位有关的单路输出。 由输入三极管集成电极电流和基极电阻确定的输入电压噪声减小到9nV/ 。内部增益电阻R1和R2被精确确定24.7kΩ,使得运放增益精确地有确定 Rg Rg G=49.4 kΩ/Rg+1 或 =49.4 kΩ/(G-1) 图3-4 AD620结构简图 AD620由于体积小、功耗低、噪声小及供电电源范围广等特点,特别适宜应用到诸如传感器接口、心电图监测仪、精密电压电流转换等应用场合。 3.1.4方案四:利用MAX4194来设计放大电路 MAX4194具有轨-轨的特性,放大器输入端设计有高通滤波器,可以抑制极化电压,MAX4194的失调电压不到100uV,因此其电压增益可取较大值,获得较高的共模抑制比。 图3-5芯片连接图 图3-6芯片引脚图 10 天津职业技术师范大学2010届本科生毕业设计 图3-7 AD620、MAX4194的输入缓冲电路 图3-7为AD620、MAX4194的输入缓冲电路,可以提高输入阻抗,通过最右边的电阻网络取出共模信号,可以进行共模驱动,再经过反向放大可以做右腿驱动,能获得较高的共模抑制比。 3.1.5方案选择 虽然INA128在抑制共模信号有独特效果,但INA128芯片市场上不好买,综合考虑AD620是一个很好的放大器,只要用一个外部电阻就可以进行1—1000的放大倍数,而且是DIP封装便于使用,所以在选择放大电路的时候运用AD620芯片。 参数选择:实际电路中去掉22KΩ的R1、R2,在N1、N2之前分别串联一个47KΩ的电阻,其作用是限流,阻值是与实际买到的110V启辉电压的氖泡相配合的,能保证在出现高压时的电流为110/47=2.3mA<10mA,处于安全范围。 47KΩ的电阻和220pF的独石电容组成无源低通,去除超高频,截止频率为 1 =15.4KHZ。二极管用4148,可以防止运放差动输入电压大于0.7V。f,H2RC, 运放采用四运放LF347。R-R电阻网络中的电阻取10KΩ,选取的时候通过测量选取阻值基本一样的电阻。 3.2高通滤波电路 由RC元件与运算放大器组成的滤波器称为RC有源滤波器,其功能是让一定的频率范围内的信号通过,抑制或急剧衰减此频率范围以外的信号。具有理想幅频特性的滤波器是很难实现的(如图3-9虚线)。只能用实际的滤波器的幅频特性去逼近理想的特性。常用的方法是巴特沃斯(Butterworth)逼近和切比雪夫(Chebysher)逼近,为保证心电信号原形,采用较平坦的巴特沃思有源滤波。如图所示,滤波器的阶数N越高,幅频特性衰减的速度越快,就越接近于理想 11 天津职业技术师范大学2010届本科生毕业设计 幅频特性。 图3-8 巴特沃斯幅频特性 图3-9高通有源滤波器 滤波放大芯片用TL062CP。参数选择:如图3-10中各元件的标注,,其截止频 fH率约为=0.5Hz。 3.3工频50Hz的滤除电路 带阻滤波器电路是用来抑制或衰减某一频段的信号,而让该频段以外的所有信号通过。K=R4/R3+R4=0.9引入负反馈改善选频作用。实际实验时发现,R4取1.7KOhm,R3取75Ohm效果更好一些。 12 天津职业技术师范大学2010届本科生毕业设计 图3-10 50HZ双T陷波电路 参数选择:实验中选用陷波效果很好的经验参数。即R1、R2取30 KΩ,R3通过电位器调至2 KΩ左右,R4在148 KΩ左右,R5取15 KΩ。C1、C2取0.1uF,C3取0.2uF。 3.4电压抬升电路 经过一系列信号调理后,陷波输出的心电信号为交变信号,而本系统中单片机内置ADC转换输入电压范围为0~5V,因此,在送入ADC之前还需进行电平调理 图3-11电压抬升电路 13 天津职业技术师范大学2010届本科生毕业设计 4 心电信号采集及处理电路设计 心电信号采集及处理电路主要进行心电信号的采集、心电数据的处理,该电路主要包括单片机、单片机外围电路、液晶屏显示电路及主要通信接口电路等。 系统结构框图如下 示波器 心电信号 AD模块 单片机 外围电路 液晶显示屏 图4-1 由心电信号提取及调理电路得到的较好的模拟的电信号,经过模数转换后再送到CPU处理,这里的模数转换由所选用的ATmega16单片机自带的8位ADC. 心电图的显示则是心电信号不经过单片机,直接通过示波器显示。 4.1ATmega16单片机介绍 [3]ATmega16是基于增强的 AVR RISC 结构的低功耗8位CMOS 微控制器。由于其先进的指令集以及单时钟周期指令执行时间,ATmega16的数据吞吐率很高,从而可以缓减系统在功耗和处理速度之间的矛盾。 1. 芯片概述 高性能、低功耗的8位AVR微处理器 ?先进的RISC结构 – 131条指令大多数指令执行时间为单个时钟周期 – 32个8位通用工作寄存器 – 全静态工作 – 工作于16 MHz时性能高达16MIPS 14 天津职业技术师范大学2010届本科生毕业设计 – 只需两个时钟周期的硬件乘法器 ?非易失性程序和数据存储器 – 16K字节的系统内可编程 Flash,擦写寿命 : 10,000 次 – 具有独立锁定位的可选Boot代码区 ?通过片上 Boot程序实现系统内编程,真正的同时进行读写操作 – 512 字节的EEPROM,擦写寿命 : 100,000 次 – 1K 字节的片内SRAM – 可以对锁定位进行编程以实现用户程序的加密 ?JTAG接口 ( 与 IEEE 1149.1 标准兼容 ) – 符合JTAG标准的边界扫描功能 – 支持扩展的片内调试功能 – 通过 JTAG 接口实现对 Flash、 EEPROM、熔丝位和锁定位的编程 2. 外设特点 – 两个具有独立预分频器和比较器功能的8位定时器 / 计数器 – 一个具有预分频器、比较功能和捕捉功能的16位定时器 / 计数器 – 具有独立振荡器的实时计数器RTC – 四通道PWM – 8 路10位ADC;8个单端通道;TQFP 封装的7个差分通道;2个具有可编程增益(1x, 10x, 或 200x)的差分通道 – 面向字节的两线接口 – 两个可编程的串行 USART – 可工作于主机 / 从机模式的 SPI 串行接口 – 具有独立片内振荡器的可编程看门狗定时器 – 片内模拟比较器 3. 特殊的处理器特点 – 上电复位以及可编程的掉电检测 – 片内经过标定的RC振荡器 – 片内 / 片外中断源 – 6种睡眠模式:空闲模式、ADC 噪声抑制模式、省电模式、掉电模式、Standby 模式以及扩展的Standby模式 4. I/O 和封装 – 32 个可编程的I/O口 – 40 引脚 PDIP封装 , 44引脚 TQFP 封装 , 与 44引脚MLF封装 5. 工作电压 15 天津职业技术师范大学2010届本科生毕业设计 – ATmega16L:2.7 - 5.5V – ATmega16:4.5 - 5.5V 6. 速度等级 – 0 - 8 MHz ATmega16L – 0 - 16 MHz ATmega16 7. ATmega16L在1MHz, 3V, 25?C时的功耗 – 正常模式 : 1.1 mA – 空闲模式 : 0.35 mA – 掉电模式 : < 1 A 8. 引脚配置 ATmega16单片机引脚图,如图4-2所示 图4-2 16单片机引脚图 9. 引脚说明 VCC 数字电路的电源 GND 地 端口 A(PA7..PA0) 端口A为 A/D 转换器的模拟输入端。端口 A 为8位的双向I/O,具有可编程的内部上拉电阻。其输出缓冲器具有对称的驱动特性,可以输出和吸收大电流。作为输入使用时,若内部上拉电阻使能,端口被外部电路拉低时将输出电流。在复位过程中,即使系统时钟还未起振端口A 处于高阻状态。 端口 B(PB7..PB0) 端口 B为 8 位双向 I/O 口,具有可编程的内部上拉电阻。其输出缓冲器具有对称的驱动特性,可以输出和吸收大电流。作为输入使 16 天津职业技术师范大学2010届本科生毕业设计 用时,若内部上拉电阻使能,端口被外部电路拉低时将输出电流。在复位过程中,即使系统时钟还未起振,端口 B 处于高阻状态。端口B也可以用做其他不同的特殊功能。 端口 C(PC7..PC0) 端口 C为8位双向 I/O 口,具有可编程的内部上拉电阻。其输出缓冲器具有对称的驱动特性,可以输出和吸收大电流。作为输入使用时,若内部上拉电阻使能,端口被外部电路拉低时将输出电流。在复位过程中,即使系统时钟还未起振,端口 C 处于高阻状态。如果JTAG 接口使能,即使复位出现引脚 PC5(TDI)、PC3(TMS) 与 PC2(TCK) 的上拉电阻被激活。端口 C 也可以用做其他不同的特殊功能。 端口 D(PD7..PD0) 端口 D为8位双向 I/O 口,具有可编程的内部上拉电阻。 其输出缓冲器具有对称的驱动特性,可以输出和吸收大电流。作为输入使用时,若内部上拉电阻使能,则端口被外部电路拉低时将输出电流。在复位过程中,即使系统时钟还未起振,端口 D 处于高阻状态。端口 D 也可以用做其他不同的特殊功能。 RESET 复位输入引脚。持续时间超过最小门限时间的低电平将引起系统复位。持续时间小于门限间的脉冲不能保证可靠复位。 XTAL1 反向振荡放大器与片内时钟操作电路的输入端 XTAL2 反向振荡放大器的输出端。 AVCC AVCC是端口A与A/D转换器的电源。不使用ADC时,该引脚应直接与VCC 连接。使用ADC时应通过一个低通滤波器与VCC连接。 AREF A/D 的模拟基准输入引脚。 4.2单片机基本工作电路 4.2.1电源提供 单片机内部有各种电路,这些电路在工作时需要提供电源。ATmega16单片机的第10脚为电源正极(VCC);30脚是A/D转换器的电源(AVCC)。不使用ADC时,该引脚应直接与VCC 连接;31脚是地线(GND)。使用时电源正极引脚一般接5V电源,地线引脚接地。 4.2.2复位电路 单片机内部有大量的数字电路,在为这些电路提供电源的同时,还要给它们提供复位信号,让这些电路都进入初始状态,然后才开始工作。 ATmega16的第9脚为复位引脚(RST)。单片机复位电路如图4-3所示。 17 天津职业技术师范大学2010届本科生毕业设计 VCC 61D1R1A414810K 1RESET S4C110UF 图4-3单片机复位电路 在接通+5V电源的瞬间,C1来不及充电,R1A两端的电压为5V,1点的电压为0V(低电平),该低电平信号进入9脚,对内部电路进行复位,让内部电路都进入初始状态。随着+5V电源对C1不断充电,C1两端的电压迅速上升,电阻两端的电压则迅速下降,当C1两端电压冲到+5V时,电阻两端的电压为0,此时1点的电压为+5V(高电平),复位完成,单片机内部电路开始工作。由于该复位过程在单片机接通电源时就会自动进行,所以称之为上电复位。 如果单片机工作不正常,可按下复位开关S,在按下开关时,C1两端的电压为零,1点的电压为0(低电平),低电平信号通过9脚进入单片机内部,让内部电路都进入初始状态。当S断开时,9脚变为高电平,复位结束,单片机内部电路全部回到起始状态,然后重新开始工作。该复位过程称作手工复位。 4.2.3时钟电路 为了让单片机的内部电路有次序、有节拍地工作,需要为这些电路提供时钟脉冲信号,让时钟信号来控制它们工作。有的电路来一个时钟脉冲就工作一次,有的电路需要来多个时钟脉冲才工作一次,如果没有时钟脉冲到来,这些电路就不能正常工作。时钟脉冲信号频率越高,这些电路的工作速度越快。 、13脚为时钟信号引脚(XTAL2、XTAL1)。单片机可采用16单片机的12 两种时钟电路来提供时钟信号——内时钟电路和外时钟电路。本系统中采用内部时钟电路来提供时钟信号,如图4-4所示。 18 天津职业技术师范大学2010届本科生毕业设计 C2 22pFXTALl2 2XTAL C3 1XTAL122pF 图4-4 内时钟电路 单片机内部的反相放大器与XTAL2、XTAL1引脚外接的反馈兼选频电路(C2、C3、晶振)构成时钟振荡器,产生的时钟信号提供给单片机的内部电路。此时时钟振荡器产生的时钟信号频率与晶振的频率相同,本系统的晶振频率为7.3728MHz。 采用外时钟电路时,单片机外部的振荡电路产生的时钟信号经放大器放大后,进入XTAL2引脚,送给单片机的内部电路。单片机XTAL2、XTAL1引脚之间的内部放大电路由于无外接反馈选频电路,并且XTAL1引脚接地,所以不会产生振荡。 4.3单片机的模数转换器 ATmega16有一个10位的逐次逼近型ADC。ADC与一个8通道的模拟多路复用器连接,能对来自端口A 的8 路单端输入电压进行采样。单端电压输入以0V (GND) 为基准。器件还支持16 路差分电压输入组合。两路差分输入(ADC1、ADC0 与ADC3、ADC2)有可编程增益级,在A/D 转换前给差分输入电压提供0dB(1x)、20dB(10x) 或46dB(200x)的放大级。七路差分模拟输入通道共享一个通用负端(ADC1), 而其他任何ADC 输入可做为正输入端。如果使用1x 或10x 增益,可得到8 位分辨率。如果使用200x 增益,可得到7 位分辨率。ADC 通过逐次逼近的方法将输入的模拟电压转换成一个10 位的数字量。ADC转换结果为10位,存放于ADC数据寄存器ADCH及ADCL中。 这里我们使用AT16的40引脚作为输入引脚,然后通过相应的内部程序来实现相应的数据转换。 4.4液晶显示模块 心电信号经过单片机的处理后,可以得出相应的心率,最后通过液晶显示出来。这里选用的是金鹏公司的128*64LCD。该模块已经集成了相应的液晶控制器。 单片机与液晶的连接电路如下。 19 天津职业技术师范大学2010届本科生毕业设计 AGND R1JYEJING120PIN110K234/WR5/RD6/CS7RS8/RET9DB010DB111DB212DB313DB414DB515DB616DB7VCCVCC17BLA18VOUT19BUSY20INT 图4-5单片机管脚连接图 20 天津职业技术师范大学2010届本科生毕业设计 5 系统软件设计 本系统设计的软件程序能使系统显示相应的心率。 系统开始启动以后,首先是对系统环境初始化,包括设置串口、中断和端口;接着显示欢迎界面,开始工作后,显示出被测者的心率。图 5-1是系统程序图。 开始 液晶及单片机管脚初始化 AD采集设置 液晶显示主程序 21 结 论 结 论 本文设计的简易心电监护仪,能较好地实现心电信号采集、数据处理和显示等功能,证明了心电监护仪设计方案的可行性。总结这次的设计,主要工作概括如下: (1)介绍了心电信号产生的原理、心电图中各波段的含义。分析了心电信号的特点及主要干扰因素,给出了心电信号调理电路的设计要求,并设计了心电监护系统的总体结构。 (2)设计了心电监护仪系统的整个硬件电路,包括心电信号提取及调理电路和心电信号采集及处理电路两大部分。心电信号提取及调理电路的设计以前级放大电路、右腿驱动电路、滤波电路和后级放大电路的设计为重点,并通过软件对前级和后级放大电路进行了仿真研究。心电信号采集及处理电路以AT16硬件平台为核心进行设计,并详细介绍了心电信号采集电路及其它单元电路。 (3)介绍了心电监护仪系统软件设计 由于多方面条件的限制,本文只对心电监护系统的部分内容进行了研究与设计,而且还存在很多不足,对于整个系统,可以更进一步研究的主要有: (1)进一步改进心电信号提取及调理电路,增强其抗干扰能力和提取有用信号的能力,并将其应用到实际的心电监护系统中,以便发现问题和改进电路。 (2)以低成本、低功耗、高性能为目的,改进并制作心电监护系统的硬件 电路。 (3)优化心电监护系统现有的功能模块,以实现系统更好的心电信号采集、处理、分析和显示功能。 22 参考文献 参考文献 [1] 秦永文,徐晓璐(新编心电图诊断学(上海:科学技术出版社,2005(45--一123 [2] 宗伟,邵军,郑崇勋(心电监护的技术发展及展望(上海生物医学工程,1997(18(2):5l"53 [3] 黄忐伟,林人全(心电信号的检测技术与临床应用(中国测试技术,2005(3:23"26 [4] P朗edina A,Allen A(Telemedicine technology and clinical app|ieation[J,OL],1996(273:483,488 [5] 王保华(生物医学测量与仪器(上海:复口(大学出版社,2003(24---79 [6] 王金风,王戈(心电图的识别与病人的护理(国外医学:护理学分册,2001(20(1):2,10 [7] 黄振(远程心电检测技术研究:[硕士学位](哈尔滨:哈尔滨工业大学,2006 [8] 卢喜烈(12导联心电图同步诊断学(北京:人民军医出版社,1997(31---50 [9] Anna Esposito(An adaptive Learning algorithm for ECG noise and baseline driftremoval(Neural nets,2003(37(3):139""147 [10] 蔡建新(生物医学电子学(北京:北京大学出版社,1997(24"-'63 [11] 何伟,陈良迟,徐晓红(心电信号及频率成分和有效带宽的研究(生物医学杂志,1996(1 3(4):36"40 [12] 余学飞(医学电子仪器原理与设计(南京:华南理工大学出版社,2000(12--一58 [13] 洪建(心电监护系统的设计(医疗卫生装备,2000((6):25,29 [14] J(B(Martin,T(GDenis(A Micro-processor Dry-ElectrodeECG Biology and Medical Engineering,2000(47(2):Preamplifier(IEEE Tram on 155--一162 [15] Analog Device Inc(INA326-Low Cost,Low Power Instrumentation Amplifier(2003 23 附 录 附录1:电路综合原理图 VCCVCC R162.7KC210C45C21103 C210047uF104R17100KC450R2720K47uF104 R49510 VDDR11001U3AU1748ECG1 28AD620ANU3BU5A121TL062CPU4A25U5BC320K2R28 C41043317TL062CP6C283n3C293n363313AD67 R9TL062CP2R305R2952233PINTL062CPR21002M47K4 1MTL062CP84R321MR3136KVCCC25C52 100KR3447uF104R35 500kVDDC2101C451 47uF104VDD28K R58R57 VDDR33104 1.25V104U4B C30C316TL062CP3323n37 5R3136K 84 VCC 24 附 录 U1 140ADPB0(XCK/T0)PA0(ADC0)239PB1(T1)PA1(ADC1) 338PB2(AIN0/INT2)PA2(ADC2)437 PB3(AIN1/OC1)PA3(ADC3)536PB4(SS)PA4(ADC4)635 PB5(MOSI)PA5(ADC5)734PB6(MOSO)PA6(ADC6)833 PB7(SCK)PA7(ADC7) 1422 PD0(RXD)PC0(SCL)1523PD1(TXD)PC1(SDA) 1624PD2(INT0)PC2(TCK)VCC1725PD3(INT1)PC3(TMS) 1826PD4(OC1B)PC4(TDO)1927PD5(OC1A)PC5(TDI) 2028PD6(ICP)PC6(TOSC1)R12129VCCPD7(OC2)PC7(TOSC2) 1K10VCC rest930RESETAVCC32 AREFS1C2 12310.1uF0.1uF XTAL2GND100pF1311XTAL1GNDC5C6 mag16mag16 12 AGND8MHZ C3C4 22pF22pF R1JYEJING120PIN 110K 2 3 4/WR 5/RD 6/CS 7RS 8/RET 9DB0 10DB1 11DB2 12DB3 13 DB4 14DB5 15DB6 16DB7VCCVCC17BLA 18VOUT 19 25 BUSY 20INT 附 录 附录2:系统程序 (1)相关文件定义 //组成文件:lcd.c //硬件连接:IOB0:cs IOB1:std IOB2:sclk IOB3:psb IOB4:rst #include #include #define SET_BIT(x,y) ((x)|=(0x0001<<(y))) //置x的第y位为一 #define CLR_BIT(x,y) ((x)&=~(0x0001<<(y))) //清x的第y位为零 #define cs1 SET_BIT(PORTB,0) //cs置高(串行的片选信号) #define std0 CLR_BIT(PORTB,1) //std清零(串行的数据口) #define std1 SET_BIT(PORTB,1) //std置高 #define sclk0 CLR_BIT(PORTB,2) //sclk清零 #define sclk1 SET_BIT(PORTB,2) //sclk置高(串行的同步时钟) #define psb0 CLR_BIT(PORTB,3) //psb清零 #define rst1 SET_BIT(PORTB,4) //rst置高 #define uint unsigned int #define uchar unsigned char #define x1 0x80 #define x2 0x88 #define y 0x80 #define comm 0 #define dat 1 uchar i,t=0,a0,c; uchar value; //采样电压值 uint b0; unsigned int s[10]= {0,1,2,3,4,5,6,7,8,9}; 26 附 录 void wr_lcd (uchar dat_comm,uchar content); /*----------------延时-------------------*/ void delay (uint us) //delay time { while(us--); } (2)初始化 /*------------------初始化-----------------*/ void init_lcd (void) { rst1;//rst置高 psb0;//psb清零 wr_lcd (comm,0x30); /*30---基本指令动作*/ wr_lcd (comm,0x01); /*清屏,地址指针指向00H*/ delay (1000); wr_lcd (comm,0x06); /*光标的移动方向*/ wr_lcd (comm,0x0c); /*开显示,关游标*/ } /*---------------显示汉字或字符----------------*/ void chn_disp (uchar *chn) { uchar i,j; wr_lcd (comm,0x30); wr_lcd (comm,0x80); for (j=0;j<4;j++) { for (i=0;i<16;i++) wr_lcd (dat,chn[j*16+i]); } } /*---------------------------------------*/ void wr_lcd (uchar dat_comm,uchar content) { 27 附 录 uchar a,i,j; delay (500); a=content; cs1;//cs置高 sclk0;//sclk清零 std1;//std置高 for(i=0;i<5;i++) { sclk1;//sclk置高 asm("nop");asm("nop"); sclk0;//sclk清零 } std0;//std清零 sclk1;//sclk置高 asm("nop");asm("nop"); sclk0;//sclk清零 if(dat_comm) std1;//data else std0;//command sclk1;//sclk置高 sclk0;//sclk清零 std0;//std清零 sclk1;//sclk置高 asm("nop");asm("nop"); sclk0;//sclk清零 for(j=0;j<2;j++) { for(i=0;i<4;i++) { if((a&0x80)==0x80) std1;//std置高 else std0;//std清零 28 附 录 a=a<<1; sclk1;//sclk置高 asm("nop");asm("nop"); sclk0;//sclk清零 } std0;//std清零 for(i=0;i<4;i++) { sclk1;//sclk置高 asm("nop");asm("nop"); sclk0;//sclk清零 } } } /*************指定起始位置写汉字和字符串*****************/ /*a 为起始行 b 为起始列 *s 为显示的汉字、字符、数字*/ void chn_disp1(uchar a,uchar b,uchar *s) { uchar i,address; wr_lcd (comm,0x30); switch(a) { case 0: address=0x80+b;break; case 1: address=0x90+b;break; case 2: address=0x88+b;break; case 3: address=0x98+b;break; default:address = 0x80 + b; } wr_lcd (comm,address); while (*s) { wr_lcd( dat, *s ); s++; 29 附 录 } } void port_init(void)//初始化单片机的管脚 { DDRB = 0xff;//设置端口,将端口B设置为高电平输出 PORTB = 0xff; PORTA = 0x00; DDRA = 0x00; } (3)AD采集 //**********AD采集设置***********************// void adc_init(void) { //adc转换初始化 ADCSRA = 0x00; //禁止AD转换 ADMUX = 0x00; //右对齐,基准电源VREF。零通道 SFIOR = 0x00; //开启连续模式 ACSR = 0x80; //禁止模拟比较器 ADCSRA = 0xEB; //开启AD转换、8分频、中断使能、自动触发使能 } #pragma interrupt_handler adc_isr:15 uchar adc_isr(void) { //转换完成后中断处理 value=ADCL; //首先读低位 value|=ADCH << 8; //然后读高位 if(value == 512) { if(a0) //判断是否是第1次采到512 { a0= 0; 30 附 录 TCNT1 =0; } else { b0 = TCNT1; } if(b0 > 3125)//判断是不是第二个脉冲以后的value=512 { a0 =1; } } return b0; } //定时T1初始化 void timer1_init(void) { TCCR1B = 0x00;//停止定时器 OCR1AH = 0x03; OCR1AL = 0xFF;//匹配A值 TCCR1A = 0; TCCR1B = 0x04;//启动定时器 F=8M/1/1024/2=3910Hz TCNT1 =0; } void init_devices(void) { CLI(); //禁止所有中断 port_init(); adc_init(); timer1_init(); SEI();//开全局中断 } 31 附 录 (4)液晶显示主程序 /*------------------主程序--------------------*/ int main () { init_devices(); init_lcd (); //t = 70; //voltage为AD采集的数值,最后动态显示在LCD上 chn_disp1(0,0,"欢迎使用心电测量"); //chn_disp1(1,1,":"); //对第二行第二个第二个字赋":" chn_disp1(1,3,"次/ 分");//对第二行第四个字开始操作,直到第八个字 chn_disp1(2,0,"您的心电是正常的"); chn_disp1(3,1,"欢迎再次使用"); wr_lcd (comm,0x90); //在第二行添加图标 wr_lcd( dat,3);//03是“心”的图标 wr_lcd (comm,0x98); //在第四行添加图标 wr_lcd( dat,2); //02是欢送的图标, while (1) { c=((int) 10000000/(b0*32) )*6; t=c; if(t<100) { wr_lcd (comm,0x91); wr_lcd( dat, 58 ); i = t/10; wr_lcd( dat, s[i]+48 );//0是从0x30地址开始 i = t%10; wr_lcd( dat, s[i]+48 ); } if(t>=100) { wr_lcd (comm,0x91); 32 附 录 wr_lcd( dat, 58 ); i = t/100; wr_lcd( dat, s[i]+48 );//0是从0x30地址开始 i = t/10%10; wr_lcd( dat, s[i]+48 ); i =t%10; wr_lcd( dat, s[i]+48 ); } } return(0); } 33 致 谢 致 谢 本设计是在徐丽娟老师的悉心指导下完成的。 从课题的立项、选题到课题的开发与研究,再到论文的撰写和定稿,每一步工作都倾注着丁老师的心血与汗水,同时也得到了同学们大力帮助,并提供了大量的资料。除此之外,在毕业设计进行的过程中也获得了家人和朋友的关心与支持,在此一并表达最衷心的感谢。 同时,也要感谢我的母校——天津职业技术师范大学,大学四年,这里给我留下了美好的回忆。在我即将踏上工作岗位的同时,给了我们这样一个锻炼的机会,使我加深了对以前知识的理解,拓宽了知识面,也提高了我对所学知识的综合的应用能力。 祝愿母校明天更美好。 34
/
本文档为【心电监护仪】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索