为了正常的体验网站,请在浏览器设置里面开启Javascript功能!
首页 > 频率幅度可调的锯齿波发生器

频率幅度可调的锯齿波发生器

2017-10-07 19页 doc 40KB 47阅读

用户头像

is_281650

暂无简介

举报
频率幅度可调的锯齿波发生器频率幅度可调的锯齿波发生器 模拟电子技术基础 课程设计(论文) 幅度频率可调的锯齿波发生器 院(系)名称 专 学 学 指生导姓教业班级 号 名 师 电气工程学院 自动化131 130302012 宫会彬 起止时间: 2015.7.6—2015.7.19 课程设计(论文)任务及评语 院(系):电气工程学院教研室:电子信息工程 本科生课程设计(论文) 摘要 在我们日常生活中,以及一些科学试验中,锯齿波视常用的基本测试信号。在无线电通信、测量、自动化控制等技术领域广泛地应用着。如在示波器、电视机等仪器中...
频率幅度可调的锯齿波发生器
频率幅度可调的锯齿波发生器 模拟电子技术基础 课程设计(论文) 幅度频率可调的锯齿波发生器 院(系)名称 专 学 学 指生导姓教业班级 号 名 师 电气工程学院 自动化131 130302012 宫会彬 起止时间: 2015.7.6—2015.7.19 课程设计(论文)任务及评语 院(系):电气工程学院教研室:电子信息工程 本科生课程设计(论文) 摘要 在我们日常生活中,以及一些科学试验中,锯齿波视常用的基本测试信号。在无线电通信、测量、自动化控制等技术领域广泛地应用着。如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。因此锯齿波发生器是学习,科学研究等方面不可缺少的工具。在三角波发生器的基础上,改变积分电路的充放电时间,从而便可以得到锯齿波发生器。 本次设计的幅度频率可调的锯齿波发生器,该锯齿波产生电路以集成 运算放大器UA741为主要器件,构成迟滞电压比较器和充放电时间常数不 等的积分器,通过改变电阻阻值从而实现幅度频率可调的锯齿波发生器。 并根据需要设计信号发生器电路所需的直流稳压电源。 本设计首先采用Multisim软件进行仿真调试。确定所需的元器件后用 万用板进行实物的焊接与调试。经最后实物测试各输出信号基本达到设计 要求且稳定工作。 关键词:锯齿波发生器;直流稳压电源;Multisim I 本科生课程设计(论文) 目录 第1章绪 论 ........................................................................................................................ .................. 1 1.1 发展概 况 ........................................................................................................................ ....... 1 1.2本文研究内 容 ........................................................................................................................ 1 第2章幅度频率可调的锯齿波发生器总体设计方 案 ...................................................................... 2 2.1幅度频率可调的锯齿波发生器设计论 证 .................................................................... 2 2.2总体设计方案框图及分 析 .................................................................................................... 2 第3章幅度频率可调的锯齿波发生器单元电路设 计 ...................................................................... 3 3.1幅度频率可调的锯齿波发生器具体电路设 计 .................................................................... 3 3.1.1直流稳压电源电路设 计 ............................................................................................. 3 3.1.2 迟滞比较器的设 计 .................................................................................................... 4 3.1.3积分电路设 计 ............................................................................................................. 5 3.2 元器件型号选 择 ................................................................................................................... 7 3.3 参数计 算 ............................................................................................................................... 7 3.4 幅度频率可调的锯齿波发生器总体电路 图 ..................................................................... 10 第4章幅度频率可调的锯齿波发生器仿真与调 试 ........................................................................ 11 4.1 Multisim仿真与调 试........................................................................................................... 11 4.2 仿真结果分 析 ..................................................................................................................... 14 第5章幅度频率可调的锯齿波发生器实物制 作 ............................................................................ 15 5.1直流稳压电源电路焊 接 ...................................................................................................... 15 5.2幅度频率可调的锯齿波发生器电路焊 接 .......................................................................... 15 第7章总 结 ........................................................................................................................................ 18 参考文 献 ............................................................................................................................................ 19 附录 I ................................................................................................................................................ 20 附录 II ........................................................................................................................... ................... 21 II 本科生课程设计(论文) 第1章绪论 1.1发展概况 自六十年代以来,信号发生器有了迅速的发展,出现了函数信号发生器、扫频信号发生器、合成信号发生器、程控信号发生器等新种类。各类信号发生器的主要性能指标也都有了大幅度提高。近年来随着GSM、GPRS、3G、BlueTooth乃至4G等移动通信以及LMDS、无线本地环路等无线接入的发展,同时加上合成孔径雷达等现代军事、国防、航空航天等在技术上的不断创新与进步,世界各国非常重视这方面的发展。 在我们日常生活中,以及一些科学试验中,锯齿波视常用的基本测试信号。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转板加上随时间作线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。而电视机中显像管荧光屏上的光点,是靠磁场变化进行偏转的,所以需要用锯齿波电流来控制。 作为一种基本的电子设备无论是在教学、科研还是在部队技术保障中,都有着广泛的应用,对锯齿波发生器进行深入研究,不论时从教学科研角度,还是从部队技术保障服务角度出发都有着积极的意义,波形发生器是电子系统的心脏,随着科学技术的发展,对它的研究越来越来深刻,在各个方面都有它的用武之地。 1.2本文研究内容 本论文中,锯齿波发生器是运用相关器件组合而产生的电路,其中一个非常重要的部件就是集成运算放大器,以及由集成运算放大器组成的迟滞比较器、积分器。用集成运放实现的电路结构简单,调整方便。 根据频率可调锯齿波发生器设计的要求及技术指标设计电路: ?设计要求:对各种方案进行比较,以电路的先进性、结构的繁简、成本的 高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案——设 计一个锯齿波发生器和一个直流稳压源。设计各单元电路,即直流稳压电源、积分电 路和迟滞比较器。 ?功能要求:输出的波形工作频率范围0.02Hz,1kHz连续可调;方波幅值?10V 波峰峰值20V;各种输出波形幅值均连续可调。 1 本科生课程设计(论文) 第2章幅度频率可调的锯齿波发生器总体设计方案 2.1幅度频率可调的锯齿波发生器设计方案论证 方案(一) 利用集成函数发生器芯片设计一个电路,可以直接对其进行调频调幅。这种的两侧的性能好,而且使用能力强,工作范围大。但是这种芯片由于在Multisim软件中无法找到进而无法仿真。并且它的工艺要求较高,焊接等技术要求都很高。因此不易选择此类设计方案。 方案(二) 设计一个锯齿波发生器和一个直流稳压电源。锯齿波发生器电路需要由同相输入迟滞比较器和充电时间常数不等的积分器构成。这种设计基于电路简单,性能较为良好,器件选择灵活,并且器件价格便宜,焊接等技术要求都很低。因此本次设计选择此方案。 2.2总体设计方案框图及分析 如图2.1所示,直流稳压电源给由迟滞比较器和积分器构成的锯齿波发生器供电。由输出的锯齿波信号作为电压比较器的输入信号,电压比较器输出的方波作为积分器的输入信号。由于积分器充放电时间常数不同所以输出的信号为锯齿波。合理调整迟滞比较器的比较门限可以调整锯齿波电压的输出幅度。调整充放电时间常数的差值可以调整锯齿波电压的输出频率。 图2.1 总体方案框图 2 本科生课程设计(论文) 第3章幅度频率可调的锯齿波发生器单元电路设计 3.1幅度频率可调的锯齿波发生器具体电路设计 3.1.1直流稳压电源电路设计 图3.1 直流稳压电路框图 在电子电路中,通常都需要电压稳定的直流电源供电。小功率的稳压电源的组成由电源变压器,整流电路,滤波电容,稳压电路四部分组成。 电源变压器:由于所需的直流电压比电网的交流电压相差较大,因此 常利用电源变压器降压得到合适的交流电压进行转换。本电路中考虑到集成稳压器的额定压差以及输出电压为15V,所以将电网交流电压变为整流电路所需的交流电压18V左右。 整流电路:利用二极管具有单向导电性,将正负交替的正弦交流电压整流成单方向的脉动电压。本电路中采用四个二极管(IN4007)将电压器次级交流电压变成单向直流电压,它包含直流成分和许多谐波成分。 滤波电路:滤波电路的作用是利用储能元件滤去直流电压中的交流成分,使输出电压趋于平滑,采用电容滤波成本低,输出电压平均值较高,但带载能力差,适用于负载电流较小且在变化不大的场合。采用电感滤波成本比较高,带载能力强,适用于负载电流较大的场合。在要求较高的场合,可再用复合滤波电路。本电路中采用两个电容量不同的电容器组成。小电容过滤掉低频纹波,大电容过滤掉高频纹波。从而输出比较平滑的直流电压。 稳压电路:稳压电路的作用使输入电压或负载在一定范围内变化时,保证输出电压稳定。对要求不高的小功率电路,可采用并联型硅稳压管稳定电路。要求较高时采用串联想稳压电路,采用电压负反馈来使输出电压稳定。本电路采用简单的三端集成稳压器LM7815和LM7915,分别输出15V和-15V电压。(如图3.2为直流稳压电源) 3 本科生课程设计(论文) 图3.2 直流稳压电路图 3.1.2 迟滞比较器的设计 迟滞比较器具有电路简单、灵敏度高等优点。在比较电路当中,如果输入电压受到干扰或噪声的影响,在门限电平上下波动,则输出电压将在高、低两个电平之间反复地跳变,如在控制系统中发生这种情况,将对执行机构产生不利的影响。迟滞比较器则克服了单限比较器的这种缺陷。迟滞比较器又名施密特触发器,其电路如图3.3所示。 图3.3迟滞比较器电路原理图 输入电压Ui经电阻R2加在集成运放的反相输入端,参考电压Uref经电阻R1接在同相输入端,此外从输出端通过电阻Rf引回同相输入端。电阻R3和背靠背稳压管VDz的作用是限幅,将输出电压的幅度限制在?Uz。 在本电路中,当集成运方反相输入端与同相输入端的电位相等,即U??U?时,输出端的状态将发生跳变。其中U+则由参考电压Uref及输出电压Uo二者共同决定,而Uo有两种可能的状态:+Uz或,Uz。由此可见,这种比较器有两个不同的门限电平,故传输特性呈滞回形状(如图3.4所示)。图3.5为根据需要所设计的迟滞比较器。 4 本科生课程设计(论文) 图3.4迟滞比较器的传输特性 20kΩKey=A 43 % 图3.5迟滞比较器 3.1.3积分电路设计 积分电路时一种应用比较广泛的模拟信号运算电路,它是组成模拟计 算机的基本单元,可以实现对微分方程的模拟。同时,积分电路也是控制和测量系统中常用的重要单元,利用其充放电过程可以实现延时、定时以及各种波形的产生。 电路组成如图3.6,根据理想运放工作在线型区时“虚短”和“虚断”的特点可知:电路的输出电压Uo与电容两端的电压Uc成正比,而电路的输入电压Ui与流过电容的电流ic成正比,即Uo与Ui之间成为积分运算关系。 5 本科生课程设计(论文) 图3.6积分电路 由于集成运放的反相输入端“虚地”,故Uo??Uc可见输出电压与电容两端电压成正比。又由于“虚断”,运方反相输入端的电流为零,则i?ic,故Ui?iR1?icR即输入电压与流过电容的电流成正比。由以上几个表达式可得: Uo,,Uc,? 11 idt,?Uidtc??CRC (1) 由此可知,当输入电压为矩形波时,通过积分换算,输出电压即可转变为三角波。由于电容的正反充放电时间不等便可将三角波变为锯齿波(如图3.7为电容正反充放电时间不等的积分电路)。通过调节R5 的阻值可以实现2个阻值不同的电阻从而可以改变正向电容充电时间常数和反向 电容充电的时间常数即RC值,便可输出锯齿波了。 C1 图3.7电容正反充电时间不等的积分电路 6 本科生课程设计(论文) 3.2 元器件型号选择 R1为0-20K滑动变阻器,调节波形幅度。 R2为20K电阻。 R3为1K电阻。 R4为100K滑动变阻器,改变电容正反充电时间常数。 Rw为0-1M滑动变阻器,调节频率。 R6为10K电阻。 C2、C4为1000μF电容,起滤波作用。 C3、C5 为100μF电容,起滤波作用。 C6、C7、C8、C9为0.1μF电容,起滤波作用。 C1为6000pF电容,积分作用。 D3、D4、D5、D6、D7、D8为IN4007二极管; D3、D4易于起振, D5、D6、D7、D8起整流作用。 U1、U2为μA741集成运放,双电源供电,单路,可补偿。 D1、D2为IN4735稳压二极管,稳定基准电压。 U3为LM7815CT集成稳压器,稳压作用。 U4为LM7915CT集成稳压器,稳压作用。 变压器为变比12.2的TS_VIRTUAL,降低电源电压达到所需电压。 电源为220V 50Hz,提供电源。 3.3 参数计算 输出幅度计算: 假设初始时刻迟滞比较器输出端为高电平,而且假设积分电容上的初始电压为零。由于A1同相输入端的电压U+同时与Uo1和Uo有关,根据叠加原理,可得: RR12U?,Uo1?Uo(2) R1?R2R1?R2 则此时U+也为高电平。但当Uo1,?Uz时,积分电路的输出电压Uo将随着时间往负方向线性增长,U+随之减小,当减小至U??U??0时,迟滞比较器的输出端将发生跳变,使Uo1,?Uz,同时U+将跳变为一个负值。以后,积分电路的输出电压将随着时间往正方向线性增长,U+也随之增大,当增大至U??U??0时,迟滞比较器的输出端再次发生跳变,使Uo1,?Uz,同时U+也跳变为一个正值。然后重复以上过程,于是可得迟滞比较 7 本科生课程设计(论文) 器的输出电压Uo1为矩形波,而由于积分电路的充放电时间不等,故积分电路输出电压Uo为锯齿波。如图3.8所示: 图3.8 锯齿波发生电路的波形图 由上图可知,当Uo1发生跳变时,锯齿波输出Uo达到最大值Uom,而Uo1发生跳变的条件是:U ? ? ,将条件Uo1,?Uz,U??0代入(2)式,可得: U ??0 RR20,13-)Uz )?UomR1?R2R1?R2 R1 ,由此可解得锯齿波输出的幅度为: U U omz (4) R2 要使得幅度可调,由(5)式可知,改变参数R1即可,所以实际电路中R1采用滑动变阻器;调节滑动变阻器即可改变锯齿波的输出幅度。矩形波的输出电压由分压器按比例分得?VZ获得。其幅值可在?12V范围内连续可调,从而满足设计要求。 输出频率的计算: 设t=0时接通电源,有Vo1=-Vz,则-Vz经R6向C充电,使输出电压按线性规律性增长。当Vo上升到门限电压Vt+使Vp1=Vn1=0时,比较器输出 -Vz上跳到+Vz,同时门线电压下跳到Vt-值。以后Vo1=+Vz经R6和D、Vo1由 R5两支电路向C反充电,由于时间常数减小,Vo迅速下降到负值。当Vo下降到门限电压Vt-使Vp1=Vn1=0时,比较器输出Vo1由+Vz下跳到-Vz。如此周而复始,产生振荡。由于C的正向与反向充电时间常数不同,输出波形Vo为锯齿波电压,Vo1为矩形波电压。如图2.7所示。可以证明,设忽略二极管的正向电阻,其振荡周期为 2R1R6C2R1?R6||R5?C2R1R6C?R6?2R5?T?T1?T2??? R2R2R2R5?R68 本科生课程设计(论文) 显然,当R5,D支路开路,电容C的正、反向充电时间常数相等,此时锯齿波就变成三角波,其振荡周期为: T?4R1R6CR2(6) 如图2.6所示的积分电路正向积分的时间常数远大于反向积分的时间常数,或者反向积分的时间常数远大于正向积分的时间常数,那么输出电压 Vo上升和下降的斜率相差很多,就可以得到锯齿波。利用二极管的单向导电性使积分电路两个方向的积分通路不同,就可以构成锯齿波产生电路如图2.6所示。 锯齿波的幅度额振荡周期的计算与三角波相似。设二极管导通时的等效电阻可忽略不计,电位器的滑动端移到最上端,则 vp?R2R1v0?vo1R1?R2R1?R2(7) 当????=????=0时,对应的Vo R1R1vo?Vom??vo1??VZR2R2 (8) 振荡周期为T=??1+??2。其中??1为电容充电时间,也就是的????下降时间;??2为电容放电时间,也就是????的上升时间。 振荡周期: (9) T?2R1?2R3?RW?CR2 所以调整??1、??2和????的阻值以及C的容量,可以改变振荡周期;调整电位器??4滑动端的位置,可以改变锯齿波上升和下降的斜率。 代入参数计算得,其振荡频率在54Hz~1.57kHz连续可调,与任务要求差距。 、 9 本科生课程设计(论文) 3.4 幅度频率可调的锯齿波发生器总体电路图 20kΩ75.5 %Key=A 图3.9总体电路图 10 本科生课程设计(论文) 第4章 幅度频率可调的锯齿波发生器仿真与调试 4.1 Multisim仿真与调试 采用Multisim12仿真软件对各部分电路进行仿真调试,并改正设计错误,方便实物的制作。 直流稳压电源仿真电路如图4.1所示。万用表XMM1和XMM2 应分别测量输出电压。 XMM1 图4.1直流稳压电源仿真电路图 直流稳压电源仿真结果如图4.2所示万用表XMM1读数为15.517V。万用表XMM2的读数为-15.633V。在误差允许的范围内满足了设计要求。 图4.2直流稳压电源仿真结果 如图4.3所示对锯齿波和矩形波发生电路进行电路仿真。而且随着对电位器阻值的 11 本科生课程设计(论文) 调整,幅度、频率均应连续可调。 C1 20kΩ43 %Key=A 图4.3锯齿波方波仿真电路 方波幅值为?10V,频率为150Hz,波形如图4.4所示: 图4.4方波图 12 本科生课程设计(论文) 锯齿波频率为220Hz,幅度为10V,波形如图4.5所示: 图4.5锯齿波波图 两波合成图如图4.6所示: 图4.6锯齿波波图 13 本科生课程设计(论文) 4.2 仿真结果分析 经过电路参数计算和电路元件型号的更换,根据仿真结果来看基本满足设计要求,可以产生频率幅度连续可调的锯齿波,其中方波幅值控制在方波幅值?10V左右,但由于电阻和电容的配比不当没能够将输出的锯齿波最小频率控制为0.02Hz,本设计的可调锯齿波输出频率大致在54Hz到1.57KHz之间,满足设计的频率要求。直流稳压电压源为利用三端稳压芯片LM7815和LM7915的正负双电源设计,输出电压较为稳定,可以满足为运算放大器芯片供电的要求。从仿真结果来看,本设计较为成功。 14 本科生课程设计(论文) 第5章幅度频率可调的锯齿波发生器实物制作 5.1直流稳压电源电路焊接 图5.1直流稳压电源实物图 5.2幅度频率可调的锯齿波发生器电路焊接 15 本科生课程设计(论文) 第6章 作品测试与数据分析 按照总体电路图3.9进行实物焊接后进行实物测试,本次实物测试采用实验台提供的更加稳定的?12V双电源供电。采用双通道数字示波器同时观测锯齿波和矩形波的波形。示波器各通道的接地线应与电源接地线良好连接避免干扰。如图下图6.1连接实物并进行调试。 图6.1 在测试过程中出现了运放芯片烧毁的现象。经过一番的仔细检查电路的实物焊接后,是由于运放的正负电压接反了,发现问题并改正后重新在测试,并取得成功。测试得到波形图6.2与仿真结果大体相同,符合设计任务要求,调节3个电位器,达到了调节频率,幅度的任务要求,其数值和仿真测试有差距,大概是由于实物焊接的接触不好,造成电阻阻值的变化,最终影响了锯齿波输出的结果。实物测试波形图,如图6.2,6.3所示。 16 本科生课程设计(论文) 图6.2 图 6.3 17 本科生课程设计(论文) 第7章 总结 本次课程设计是我第一次亲身体会自己动手查资料、设计电路、仿真等过程,个人感觉收获很大。在本次过程中,遇到了很多问题,经过反复的查阅资料才得以解决,后来仿真不出来,又请教了同学。在设计电路时也锻炼了自己的思维及动手能力,但是做第一块电路板时都没有成功,后发现电路焊接有短路,导致芯片烧坏。因此在又重做时,我很细心认真,在我的不断努力、不断探索、不断查资料之下,终于将电路设计功,并调出所要求的波形,给老师验收成功之时心情真的好激动 当我拿到课程设计题目时感觉很茫然,不知道从何入手,只有设计要求、没有工具、没有资料、没有材料,如何能完成设计要求呢~后来经过本人上网查资料了解到电路设计好了之后可以使用Multisim软件对其进行仿真,无需将电路事物图做出来。用Multisim12对电路进行仿真真的很方便,而且安全,还便于对电路进行修改。 进行设计的时候,我基本上都是参照书本或者网上的进行,甚至就是按照它的步骤再做一遍。当然在初学阶段这未必不可以,这样做可以让我熟悉软件的操作环境,了解设计方法和步骤,更加深刻理解基本概念。但是等到自己设计的时候,我觉得就应该抛开例子,完全按照自己的思路独立进行,这样可能会在设计中碰到更多的问题,但是这样也能让我学到 更多,而且在解决遇到的问题时会更加引发自己的思考,说不上创新,但可能会有新意产生,也就是自己的东西了。 本次设计中遇到了不少困难,但在老师同学的帮助下、在自己的努力下,还是一个一个的将问题解决了~个人感觉收获最大的就是学会了设计电路的这种方法和分析问题解决问题的思想~ 本人签字: 18 本科生课程设计(论文) 参考文献 [1] 康华光主编.电子技术基础(模拟部分).第五版.北京:高等教育出版社,2005. [2] 华成英主编.模拟电子技术基本教程.北京:清华大学出版社,2009.8. [3] 李杰等编著.电子技术基础.北京:清华大学出版社,2008. [4] 郑家龙主编.集成电子技术基础教程. 北京:高等教育出版社,2002. [5]尹亚丹, 张海飞. 基于Multisim10的锯齿波发生器的研究与仿真分析[J]. 硅谷, 2011, [6] 陈汝全主编.电子技术常用器件应用手册,北京理工大学出版社,1991 [7] 邵世凡主编.模拟电子技术,浙江大学出版社,2007 [8] 钟伟雄. Multisim仿真软件在模拟电子技术实验教学中的应用[J]. 信息通信, 2013 19 本科生课程设计(论文) 附 录 I 总体电路图 20kΩ94.5 %Key=A 20 本科生课程设计(论文) 附 录 II 元器件 21
/
本文档为【频率幅度可调的锯齿波发生器】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。 本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。 网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。

历史搜索

    清空历史搜索